Oliver Pell

发表

Robert G. Dimond, Sébastien Racanière, Oliver Pell, 2011, 2011 IEEE 20th Symposium on Computer Arithmetic.

Oskar Mencer, Stephen Weston, Oliver Pell, 2010, 2010 IEEE Workshop on High Performance Computational Finance.

Peter Sanders, Carmelo Ragusa, Oliver Pell, 2014, 2014 IEEE International Symposium on Parallel and Distributed Processing with Applications.

Wei Liu, Oliver Pell, Tamas Nemeth, 2008 .

Wayne Luk, Oliver Pell, 2008 .

Oliver Pell, Vitali Averbukh, V. Averbukh, 2012, Computing in Science & Engineering.

Wei Liu, Oliver Pell, Ling Zhuo, 2009 .

Wayne Luk, Oliver Pell, 2005, CHARME.

Frederico Pratas, Leonel Sousa, Ricardo A. Mata, 2013, 2013 IEEE 21st Annual International Symposium on Field-Programmable Custom Computing Machines.

Oskar Mencer, Oliver Pell, Olav Beckmann, 2006, 2006 International Conference on Field Programmable Logic and Applications.

Wayne Luk, Dionisios N. Pnevmatikatos, Ioannis Papaefstathiou, 2012, DSD.

Wayne Luk, Marco D. Santambrogio, Donatella Sciuto, 2014 .

Haohuan Fu, Robert G. Clapp, Oskar Mencer, 2009 .

Wayne Luk, Oliver Pell, 2006, 2006 International Conference on Field Programmable Logic and Applications.

Wayne Luk, Qiang Liu, Xinyu Niu, 2013, 2013 IEEE 21st Annual International Symposium on Field-Programmable Custom Computing Machines.

Wayne Luk, Dionisios N. Pnevmatikatos, Ioannis Papaefstathiou, 2012, 2012 15th Euromicro Conference on Digital System Design.

Michael J. Flynn, Oskar Mencer, Oliver Pell, 2012, 22nd International Conference on Field Programmable Logic and Applications (FPL).

Michael J. Flynn, Oskar Mencer, Oliver Pell, 2015 .

Wayne Luk, Satoru Yamamoto, Kentaro Sano, 2007, 2007 International Conference on Field-Programmable Technology.

Guangwen Yang, Michael J. Flynn, Lin Gan, 2014, IEEE Micro.

Wayne Luk, Oliver Pell, 2006, 2006 14th Annual IEEE Symposium on Field-Programmable Custom Computing Machines.

Michael J. Flynn, Robert G. Clapp, Olav Lindtjorn, 2010, 2010 IEEE Hot Chips 22 Symposium (HCS).

Wayne Luk, Dionisios N. Pnevmatikatos, Ioannis Papaefstathiou, 2014, ARC.

Wayne Luk, Qiang Liu, Xinyu Niu, 2012, 22nd International Conference on Field Programmable Logic and Applications (FPL).

Marco D. Santambrogio, Christian Pilato, Oliver Pell, 2013, 2013 NASA/ESA Conference on Adaptive Hardware and Systems (AHS-2013).

Michael J. Flynn, Haohuan Fu, Robert G. Clapp, 2011, IEEE Micro.

Guangwen Yang, Wayne Luk, Haohuan Fu, 2013, 2013 IEEE 21st Annual International Symposium on Field-Programmable Custom Computing Machines.

Oliver Pell, O. Pell, 2006, Journal of Automated Reasoning.

Michael J. Flynn, Oskar Mencer, Robert G. Dimond, 2008, 2008 International Symposium on Parallel and Distributed Computing.

Michael J. Flynn, Oskar Mencer, Robert G. Dimond, 2013, IEEE Transactions on Parallel and Distributed Systems.

Wayne Luk, Xinyu Niu, Oliver Pell, 2013, 2013 IEEE 24th International Conference on Application-Specific Systems, Architectures and Processors.

Wayne Luk, Dionisios N. Pnevmatikatos, Marco D. Santambrogio, 2014, 2014 IEEE International Symposium on Parallel and Distributed Processing with Applications.

Christian Plessl, George Kornaros, Marcello Coppola, 2014, ARC.

Karel Heyse, Dirk Stroobandt, Oliver Pell, 2015, ACM Trans. Reconfigurable Technol. Syst..

Wayne Luk, Oliver Pell, W. Luk, 2005, 2005 International Conference on Reconfigurable Computing and FPGAs (ReConFig'05).

Oskar Mencer, Oliver Pell, Olav Beckmann, 2006 .