Sunghyun Park

发表

Sunghyun Park, Y. Palaskas, M.P. Flynn, 2007, IEEE Journal of Solid-State Circuits.

Li-Shiuan Peh, Chia-Hsin Owen Chen, Tushar Krishna, 2011, 2011 IEEE/ACM International Conference on Computer-Aided Design (ICCAD).

Louis-Philippe Morency, Alessandro Vinciarelli, Kenji Sagae, 2013, ICMI '13.

SeongHwan Cho, Sunghyun Park, Changwook Min, 2009, 2009 IEEE International Symposium on Circuits and Systems.

Michael P. Flynn, Yorgos Palaskas, Ashoke Ravi, 2006, IEEE Custom Integrated Circuits Conference 2006.

Louis-Philippe Morency, Stefan Scherer, Kenji Sagae, 2015, 2015 IEEE International Conference on Acoustics, Speech and Signal Processing (ICASSP).

SeongHwan Cho, Joonhee Lee, Sunghyun Park, 2011, IEEE Transactions on Very Large Scale Integration (VLSI) Systems.

Uming Ko, Anantha Chandrakasan, Li-Shiuan Peh, 2016, 2016 Design, Automation & Test in Europe Conference & Exhibition (DATE).

Scott A. Mahlke, Sunghyun Park, Shikai Li, 2018, ICS.

Louis-Philippe Morency, Kenji Sagae, Sunghyun Park, 2014, SocialNLP@COLING.

Taejoong Song, Yongho Kim, Chul-Hong Park, 2017, 2017 IEEE International Solid-State Circuits Conference (ISSCC).

Louis-Philippe Morency, Stefan Scherer, Sunghyun Park, 2015, ICMI.

Taejoong Song, Yongho Kim, Sunghyun Park, 2018, 2018 IEEE International Solid - State Circuits Conference - (ISSCC).

Anantha Chandrakasan, Bhavya K. Daya, Li-Shiuan Peh, 2014, 2014 ACM/IEEE 41st International Symposium on Computer Architecture (ISCA).

Louis-Philippe Morency, Kenji Sagae, Sunghyun Park, 2016, ACM Trans. Interact. Intell. Syst..

Sunghyun Park, M.P. Flynn, 2005, Proceedings of the 2005 European Conference on Circuit Theory and Design, 2005..

Jongwoo Lee, Sunghyun Park, M.P. Flynn, 2009, IEEE Journal of Solid-State Circuits.

Anantha Chandrakasan, Li-Shiuan Peh, Sunghyun Park, 2013, 2013 Design, Automation & Test in Europe Conference & Exhibition (DATE).

Louis-Philippe Morency, Stefan Scherer, Jonathan Gratch, 2015, IEEE Transactions on Affective Computing.

Anantha Chandrakasan, Bhavya K. Daya, Li-Shiuan Peh, 2014, IEEE Hot Chips Symposium.

Ronald C. Arkin, Sunghyun Park, Lilia V. Moshkina, 2010, 2010 10th IEEE-RAS International Conference on Humanoid Robots.

Anantha Chandrakasan, Bhavya K. Daya, Li-Shiuan Peh, 2012, DAC Design Automation Conference 2012.

Anantha Chandrakasan, Li-Shiuan Peh, Chia-Hsin Owen Chen, 2013, Computer.

Louis-Philippe Morency, Stefan Scherer, Jonathan Gratch, 2013, 2013 Humaine Association Conference on Affective Computing and Intelligent Interaction.

Anantha Chandrakasan, Li-Shiuan Peh, Chia-Hsin Owen Chen, 2013, 2013 Design, Automation & Test in Europe Conference & Exhibition (DATE).

Ron Artstein, Louis-Philippe Morency, Sunghyun Park, 2012, CrowdMM '12.

Michael P. Flynn, Sunghyun Park, JunYoung Park, 2008, IEEE Transactions on Circuits and Systems I: Regular Papers.

Minji Park, Jin-Ho Ahn, Sunghyun Park, 2011 .

Jongwoo Lee, Sunghyun Park, Jae-sun Seo, 2007, 2007 IEEE Symposium on VLSI Circuits.

Taejoong Song, Jintae Kim, Kyu-Myung Choi, 2014, 2014 IEEE International Solid-State Circuits Conference Digest of Technical Papers (ISSCC).

Taejoong Song, Gyu-Hong Kim, Jaehong Park, 2015, IEEE Journal of Solid-State Circuits.

David R. Traum, Louis-Philippe Morency, Stefan Scherer, 2013, INTERSPEECH.

Michael P. Flynn, Sunghyun Park, 2006, IEEE Transactions on Circuits and Systems I: Regular Papers.

Seung-won Hwang, Kyungjae Lee, Sunghyun Park, 2018, LREC.

Ronald C. Arkin, Sunghyun Park, Lilia V. Moshkina, 2011, Int. J. Soc. Robotics.

Scott A. Mahlke, Sunghyun Park, Shikai Li, 2018, 2018 48th Annual IEEE/IFIP International Conference on Dependable Systems and Networks Workshops (DSN-W).

Taejoong Song, Yongho Kim, Sunghyun Park, 2017, IEEE Journal of Solid-State Circuits.

Scott Mahlke, Sunghyun Park, Ze Zhang, 2020, LCTES.

Taejoong Song, Gyu-Hong Kim, Sunhom Steve Paak, 2016, 2016 IEEE International Solid-State Circuits Conference (ISSCC).

Michael P. Flynn, Sunghyun Park, JunYoung Park, 2008, 2008 IEEE Custom Integrated Circuits Conference.