Yuan Xie
发表
Qiaosha Zou,
Matthew Poremba,
Yuan Xie,
2014,
2014 International 3D Systems Integration Conference (3DIC).
Yuan Xie,
Jishen Zhao,
Sheng Li,
2015,
ACM Trans. Archit. Code Optim..
Narayanan Vijaykrishnan,
Yuan Xie,
Vinay Saripalli,
2011,
IEEE Journal on Emerging and Selected Topics in Circuits and Systems.
Ang Li,
Huazhong Yang,
Yuan Xie,
2015,
The 20th Asia and South Pacific Design Automation Conference.
Jianping Fan,
Yuan Xie,
Jianmin Li,
2015,
Neurocomputing.
Tao Zhang,
Mary Jane Irwin,
Yuan Xie,
2009,
2009 IEEE International Conference on 3D System Integration.
Yu Wang,
Huazhong Yang,
Guoqing Chen,
2015,
IEEE Transactions on Very Large Scale Integration (VLSI) Systems.
Narayanan Vijaykrishnan,
Mary Jane Irwin,
Wei Xu,
2004,
GLSVLSI '04.
Yu Wang,
Huazhong Yang,
Yuan Xie,
2017,
2017 22nd Asia and South Pacific Design Automation Conference (ASP-DAC).
Huazhong Yang,
Yuan Xie,
Chun Jason Xue,
2015,
2015 International Conference on Compilers, Architecture and Synthesis for Embedded Systems (CASES).
Yuan Xie,
Xing Hu,
Dylan Stow,
2018,
IEEE Micro.
Liang Chang,
Zhaohao Wang,
Yuan Xie,
2019,
2019 Design, Automation & Test in Europe Conference & Exhibition (DATE).
Mahmut T. Kandemir,
Narayanan Vijaykrishnan,
Mary Jane Irwin,
2009,
IEEE Transactions on Computers.
Jin Liu,
Juan Li,
Yuan Xie,
2012,
2012 Eighth International Conference on Semantics, Knowledge and Grids.
Yuan Xie,
Jingtong Hu,
Chun Jason Xue,
2016,
2016 IFIP/IEEE International Conference on Very Large Scale Integration (VLSI-SoC).
Yuan Xie,
Jishen Zhao,
Jin Ouyang,
2016,
IEEE Transactions on Very Large Scale Integration (VLSI) Systems.
Yuan Xie,
Lei Deng,
Chang Liu,
2019,
ArXiv.
Yuan Xie,
Guangyu Sun,
Jude A. Rivers,
2011,
ICCD.
Yuan Xie,
Dacheng Tao,
Wensheng Zhang,
2015,
ArXiv.
Rong Chen,
Yuan Xie,
Yanyun Qu,
2018,
Neural Computing and Applications.
AccD: A Compiler-based Framework for Accelerating Distance-related Algorithms on CPU-FPGA Platforms
pdf
Yuan Xie,
Lei Deng,
Gushu Li,
2019,
ArXiv.
Yuan Xie,
Lei Deng,
Guoqi Li,
2020,
Neural Networks.
Yuan Xie,
Jing Xie,
Xiangyu Dong,
2010,
2010 IEEE International 3D Systems Integration Conference (3DIC).
Liang Tang,
Jinhui Tang,
Yuan Xie,
2018,
NeurIPS.
Yuan Xie,
Guangyu Sun,
Xiangyu Dong,
2009,
2009 IEEE International Conference on Computer Design.
Narayanan Vijaykrishnan,
Yuan Xie,
Sungmin Bae,
2008,
2008 IEEE/ACM International Conference on Computer-Aided Design.
Shimeng Yu,
Yuan Xie,
Pai-Yu Chen,
2014,
2014 12th IEEE International Conference on Solid-State and Integrated Circuit Technology (ICSICT).
Yuan Xie,
Wayne H. Wolf,
Yuan Xie,
2000,
Proceedings 2000. Design Automation Conference. (IEEE Cat. No.00CH37106).
Yuan Xie,
Dimin Niu,
Yibo Chen,
2010,
2010 15th Asia and South Pacific Design Automation Conference (ASP-DAC).
Cong Xu,
Qiaosha Zou,
Yuan Xie,
2016,
2016 53nd ACM/EDAC/IEEE Design Automation Conference (DAC).
Mahmut T. Kandemir,
Narayanan Vijaykrishnan,
Yuan Xie,
2017,
2017 50th Annual IEEE/ACM International Symposium on Microarchitecture (MICRO).
Yuan Xie,
Jishen Zhao,
Xiangyu Dong,
2010,
Design Automation Conference.
Shaoli Liu,
Tianshi Chen,
Yuan Xie,
2016,
2016 ACM/IEEE 43rd Annual International Symposium on Computer Architecture (ISCA).
Narayanan Vijaykrishnan,
Xiaoxia Wu,
Yuan Xie,
2007,
2007 IEEE/ACM International Conference on Computer-Aided Design.
Yiran Chen,
Xiaoxia Wu,
Yuan Xie,
2008,
2008 45th ACM/IEEE Design Automation Conference.
Yu Wang,
Huazhong Yang,
Yuan Xie,
2014,
16th Asia and South Pacific Design Automation Conference (ASP-DAC 2011).
Tao Zhang,
Matthew Poremba,
Yuan Xie,
2015,
IEEE Computer Architecture Letters.
Narayanan Vijaykrishnan,
Yuan Xie,
Wenping Wang,
2005,
18th International Conference on VLSI Design held jointly with 4th International Conference on Embedded Systems Design.
Yici Cai,
Qiang Zhou,
Yuan Xie,
2013,
Integr..
Yan Liu,
Lei Zhang,
Yuan Xie,
2015,
IEEE Transactions on Image Processing.
Yuan Xie,
Norman P. Jouppi,
Xiangyu Dong,
2009,
2009 IEEE/ACM International Conference on Computer-Aided Design - Digest of Technical Papers.
Xiaoxia Wu,
Yuan Xie,
Paul Falkenstern,
2007,
2007 25th International Conference on Computer Design.
Cong Xu,
Yuan Xie,
Norman P. Jouppi,
2012,
IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems.
Weighted Schatten $p$-Norm Minimization for Image Denoising with Local and Nonlocal Regularization
pdf
Yuan Xie,
Yuan Xie,
2015,
ArXiv.
Narayanan Vijaykrishnan,
Mary Jane Irwin,
Yuan Xie,
2006,
7th International Symposium on Quality Electronic Design (ISQED'06).
Yongqiang Lyu,
Yuan Xie,
Dongsheng Wang,
2018,
2018 55th ACM/ESDA/IEEE Design Automation Conference (DAC).
Narayanan Vijaykrishnan,
Yuan Xie,
Xueqing Li,
2015,
2015 IEEE/ACM International Conference on Computer-Aided Design (ICCAD).
Mahmut T. Kandemir,
Narayanan Vijaykrishnan,
Mary Jane Irwin,
2004,
Proceedings. 15th IEEE International Conference on Application-Specific Systems, Architectures and Processors, 2004..
Narayanan Vijaykrishnan,
Mary Jane Irwin,
Yuan Xie,
2005,
2005 International Conference on Computer Design.
Zhimin Zhang,
Dongrui Fan,
Yuan Xie,
2019,
2019 IEEE/ACM International Symposium on Low Power Electronics and Design (ISLPED).
Cong Xu,
Shimeng Yu,
Yuan Xie,
2015,
ACM Trans. Design Autom. Electr. Syst..
Jason Cong,
Yuan Xie,
Guangyu Sun,
2013,
2013 IEEE International Symposium on Circuits and Systems (ISCAS2013).
Narayanan Vijaykrishnan,
Lothar Thiele,
Yuan Xie,
2013,
2013 50th ACM/EDAC/IEEE Design Automation Conference (DAC).
Yuan Xie,
Wei-Lun Hung,
2006,
J. VLSI Signal Process..
Mahmut T. Kandemir,
Narayanan Vijaykrishnan,
Yuan Xie,
2018,
IEEE Micro.
Narayanan Vijaykrishnan,
Yuan Xie,
2006,
Computer.
Xiaoxia Wu,
Yuan Xie,
Krishnendu Chakrabarty,
2010,
Microelectron. J..
Rong Chen,
Yuan Xie,
Yanyun Qu,
2018,
ECCV Workshops.
Yuan Xie,
Feng Wang,
2008,
2008 IEEE International Symposium on Parallel and Distributed Processing.
Mahmut T. Kandemir,
Yuan Xie,
Robert P. Dick,
2005,
ASP-DAC.
Yuan Xie,
Norman P. Jouppi,
Jishen Zhao,
2013,
2013 46th Annual IEEE/ACM International Symposium on Microarchitecture (MICRO).
Yuan Xie,
2010,
2010 23rd International Conference on VLSI Design.
Yuan Xie,
Jue Wang,
Xiangyu Dong,
2014,
2014 IEEE/ACM International Symposium on Low Power Electronics and Design (ISLPED).
Mahmut T. Kandemir,
Yuan Xie,
Suleyman Tosun,
2005,
Sixth international symposium on quality electronic design (isqed'05).
Matthew Poremba,
Yuan Xie,
Marilyn Wolf,
2010,
2010 IEEE Workshop On Signal Processing Systems.
Narayanan Vijaykrishnan,
Yuan Xie,
Nagu R. Dhanwada,
2005,
2005 International Conference on Computer Design.
Yu Wang,
Huazhong Yang,
Yuan Xie,
2015,
ACM Great Lakes Symposium on VLSI.
Yuan Xie,
Jishen Zhao,
Yuan Xie,
2015,
Die-stacking Architecture.
Yuan Xie,
Wensheng Zhang,
Wenrui Hu,
2015,
Neurocomputing.
Tao Zhang,
Cong Xu,
Matthew Poremba,
2014,
2014 IEEE 20th International Symposium on High Performance Computer Architecture (HPCA).
Yuan Xie,
Luping Shi,
Guoqi Li,
2020,
Proceedings of the IEEE.
Zhaohao Wang,
Yuan Xie,
Weisheng Zhao,
2019,
IEEE Transactions on Very Large Scale Integration (VLSI) Systems.
Xiaoxia Wu,
Yuan Xie,
Krishnendu Chakrabarty,
2008,
2008 IEEE International Test Conference.
Yu Wang,
Yuan Xie,
Balaji Vaidyanathan,
2009,
2009 10th International Symposium on Quality Electronic Design.
Xiaoxia Wu,
Yuan Xie,
Guangyu Sun,
2009,
ISLPED.
Xiaoxia Wu,
Wei Zhao,
Yuan Xie,
2012,
IEEE Transactions on Very Large Scale Integration (VLSI) Systems.
Yuan Xie,
Jingtong Hu,
Shuangchen Li,
2018,
IEEE Transactions on Very Large Scale Integration (VLSI) Systems.
Yuan Xie,
Shuyang Lin,
Yanyun Qu,
2014,
IEEE Transactions on Cybernetics.
Narayanan Vijaykrishnan,
Mary Jane Irwin,
Yuan Xie,
2008,
IEEE Transactions on Very Large Scale Integration (VLSI) Systems.
Cong Xu,
Yiran Chen,
Yuan Xie,
2016,
2016 53nd ACM/EDAC/IEEE Design Automation Conference (DAC).
Yuan Xie,
Feng Wang,
Hai Ju,
2007,
2007 Design, Automation & Test in Europe Conference & Exhibition.
Yuan Xie,
Shuangchen Li,
Peng Gu,
2018,
2018 Asian Hardware Oriented Security and Trust Symposium (AsianHOST).
Mahmut T. Kandemir,
Yuan Xie,
Suleyman Tosun,
2005,
Design, Automation and Test in Europe.
Yuan Xie,
Norman P. Jouppi,
Xiangyu Dong,
2013,
TACO.
Ku He,
Rong Luo,
Yu Wang,
2011,
IEEE Transactions on Dependable and Secure Computing.
Rob A. Rutenbar,
Alex K. Jones,
Yuan Xie,
2007,
2007 IEEE International Conference on Microelectronic Systems Education (MSE'07).
Yuan Xie,
Jishen Zhao,
Yuan Xie,
2012,
2012 IEEE/ACM International Conference on Computer-Aided Design (ICCAD).
Yuan Xie,
Hongzhong Zheng,
Dimin Niu,
2018,
2018 51st Annual IEEE/ACM International Symposium on Microarchitecture (MICRO).
Yu Wang,
Song Han,
Song Yao,
2017,
IEEE Micro.
Yu Wang,
Yu Cao,
Xiaoming Chen,
2009,
2009 10th International Symposium on Quality Electronic Design.
Yuan Xie,
Gabriel H. Loh,
Yuan Xie,
2013,
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst..
Yuan Xie,
Daniel Hostetler,
2005,
IEEE Computer Society Annual Symposium on VLSI: New Frontiers in VLSI Design (ISVLSI'05).
Yuan Xie,
Wayne H. Wolf,
Chang Hong Lin,
2007,
IEEE Transactions on Very Large Scale Integration (VLSI) Systems.
Yuan Xie,
Yu Lu,
Shuangchen Li,
2016,
2016 21st Asia and South Pacific Design Automation Conference (ASP-DAC).
Narayanan Vijaykrishnan,
Yuan Xie,
Xueqing Li,
2015,
2015 IEEE Computer Society Annual Symposium on VLSI.
Yuan Xie,
Gabriel H. Loh,
Wenqin Huangfu,
2019,
2019 56th ACM/IEEE Design Automation Conference (DAC).
Mary Jane Irwin,
Mahmut Kandemir,
Lin Li,
2004
.
Rong Luo,
Narayanan Vijaykrishnan,
Yuan Xie,
2006,
APCCAS 2006 - 2006 IEEE Asia Pacific Conference on Circuits and Systems.
Yu Wang,
Narayanan Vijaykrishnan,
Yu Cao,
2009,
International Journal of Parallel Programming.
Yuan Xie,
Jue Wang,
Jue Wang,
2015,
ACM J. Emerg. Technol. Comput. Syst..
Yuan Xie,
Wayne H. Wolf,
Haris Lekatsas,
2006,
IEEE Transactions on Very Large Scale Integration (VLSI) Systems.
Mingguo Zhao,
Yu Wang,
Si Wu,
2019,
Nature.
Yuan Xie,
Li-Min Zhu,
Yanyun Qu,
2014,
ICIMCS '14.
Yuan Xie,
Peng Gu,
Dylan Stow,
2017,
ACM Great Lakes Symposium on VLSI.
Yuan Xie,
Jianmin Li,
Yanyun Qu,
2015,
Multimedia Tools and Applications.
Narayanan Vijaykrishnan,
Yuan Xie,
Marilyn Wolf,
2012,
TECS.
Yu Wang,
Yu Cao,
Huazhong Yang,
2012,
2012 IEEE Computer Society Annual Symposium on VLSI.
Yuan Xie,
2002
.
Yuan Xie,
Hai Li,
Guangyu Sun,
2010,
2010 53rd IEEE International Midwest Symposium on Circuits and Systems.
Ku He,
Rong Luo,
Yu Wang,
2007,
2007 Design, Automation & Test in Europe Conference & Exhibition.
Tao Zhang,
Cong Xu,
Ke Chen,
2014,
GLSVLSI '14.
Chita R. Das,
Narayanan Vijaykrishnan,
Reetuparna Das,
2008,
2008 International Symposium on Computer Architecture.
Yu Wang,
Yiran Chen,
Yuan Xie,
2012,
DAC Design Automation Conference 2012.
Minimizing leakage power in aging-bounded high-level synthesis with design time multi-Vth assignment
Yu Wang,
Yuan Xie,
Yibo Chen,
2010,
2010 15th Asia and South Pacific Design Automation Conference (ASP-DAC).
Xiaoxia Wu,
Yuan Xie,
Krishnendu Chakrabarty,
2008,
2008 IEEE International Conference on Computer Design.
Yuan Xie,
Peng Gu,
Dylan Stow,
2016,
2016 IEEE 34th International Conference on Computer Design (ICCD).
Yiran Chen,
Jian Li,
Yuan Xie,
2009,
2009 IEEE 15th International Symposium on High Performance Computer Architecture.
Tao Zhang,
Yuan Xie,
Zhe Wang,
2016,
2016 28th International Symposium on Computer Architecture and High Performance Computing (SBAC-PAD).
Yiran Chen,
Yuan Xie,
Dimin Niu,
2012,
Handbook of Energy-Aware and Green Computing.
Rong Luo,
Yu Wang,
Huazhong Yang,
2009,
IEICE Trans. Electron..
Yu Hu,
Guoqing Chen,
Yuan Xie,
2016,
TODE.
Yu Wang,
Yu Cao,
Xiaoming Chen,
2009,
2009 Design, Automation & Test in Europe Conference & Exhibition.
Tao Zhang,
Mahmut T. Kandemir,
Mary Jane Irwin,
2011,
2011 IEEE 17th International Symposium on High Performance Computer Architecture.
Yuan Xie,
Guangyu Sun,
Feng Wang,
2008,
2008 Design, Automation and Test in Europe.
Yuan Xie,
Mike Ignatowski,
Syed M. Alam,
2008,
GLSVLSI '08.
Chita R. Das,
Reetuparna Das,
Yuan Xie,
2010
.
Yuan Xie,
Yanyun Qu,
Wensheng Zhang,
2014,
Pattern Recognit..
Yuan Xie,
Jishen Zhao,
Gabriel H. Loh,
2013,
TACO.
Naehyuck Chang,
Yuan Xie,
David Z. Pan,
2014,
TODE.
Yuan Xie,
Hongzhong Zheng,
Dimin Niu,
2017,
2017 50th Annual IEEE/ACM International Symposium on Microarchitecture (MICRO).
Huazhong Yang,
Yuan Xie,
Jiang Xu,
2010,
The 2010 International Conference on Green Circuits and Systems.
Narayanan Vijaykrishnan,
Mary Jane Irwin,
Yuan Xie,
2009,
2009 IEEE/ACM International Symposium on Nanoscale Architectures.
Yuan Xie,
T.D. Richardson,
2005,
2005 6th International Conference on ASIC.
Yuan Xie,
Peng Gu,
Dylan Stow,
2016,
2016 IEEE Computer Society Annual Symposium on VLSI (ISVLSI).
Yuan Xie,
Balaji Vaidyanathan,
Yuan Xie,
2006,
2006 IEEE International SOC Conference.
Narayanan Vijaykrishnan,
Mary Jane Irwin,
Yuan Xie,
2006,
Proceedings of the Design Automation & Test in Europe Conference.
Yuan Xie,
Guangyu Sun,
Jude A. Rivers,
2011,
2011 IEEE 29th International Conference on Computer Design (ICCD).
Tao Zhang,
Cong Xu,
Yuan Xie,
2013,
2013 IEEE 31st International Conference on Computer Design (ICCD).
Wei Wu,
Yuan Xie,
Lei Deng,
2020,
Nature Nanotechnology.
Yuan Xie,
Yu Wang,
Jilan Lin,
2019,
ASP-DAC.
Cong Xu,
Yuan Xie,
Jishen Zhao,
2019,
IEEE Transactions on Parallel and Distributed Systems.
Yuan Xie,
Yu Ji,
Youhui Zhang,
2019,
ASPLOS.
Yuan Xie,
Gabriel H. Loh,
Dylan Stow,
2017,
2017 IEEE/ACM International Conference on Computer-Aided Design (ICCAD).
Liang Chang,
Yuan Xie,
Lei Deng,
2018,
ArXiv.
Yuan Xie,
Zhe Wang,
Ting Cao,
2013,
TACO.
Yuan Xie,
Jue Wang,
Xiangyu Dong,
2012,
DAC Design Automation Conference 2012.
Yuan Xie,
Wayne H. Wolf,
Haris Lekatsas,
2003,
Data Compression Conference, 2003. Proceedings. DCC 2003.
Narayanan Vijaykrishnan,
Xiaoxia Wu,
Yuan Xie,
2011,
IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems.
Mary Jane Irwin,
Yuan Xie,
Jishen Zhao,
2015,
2015 52nd ACM/EDAC/IEEE Design Automation Conference (DAC).
Yuan Xie,
Norman P. Jouppi,
Xiangyu Dong,
2013,
2013 IEEE International Symposium on Performance Analysis of Systems and Software (ISPASS).
Narayanan Vijaykrishnan,
Lothar Thiele,
Yuan Xie,
2014,
IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems.
Matthew Poremba,
Yuan Xie,
Yi Xu,
2014,
2014 19th Asia and South Pacific Design Automation Conference (ASP-DAC).
Rong Chen,
Yuan Xie,
Yanyun Qu,
2018,
2018 IEEE/CVF Conference on Computer Vision and Pattern Recognition Workshops (CVPRW).
Cong Xu,
Liu Liu,
Yuan Xie,
2016,
2016 IEEE/ACM International Conference on Computer-Aided Design (ICCAD).
Qiaosha Zou,
Yuan Xie,
Yibo Chen,
2011,
2011 Proceedings of the Ninth IEEE/ACM/IFIP International Conference on Hardware/Software Codesign and System Synthesis (CODES+ISSS).
Yuangang Wang,
Yuan Xie,
Jishen Zhao,
2016,
2016 49th Annual IEEE/ACM International Symposium on Microarchitecture (MICRO).
Cong Xu,
Yuan Xie,
Dimin Niu,
2011,
2011 IEEE/ACM International Conference on Computer-Aided Design (ICCAD).
Yuan Xie,
Norman P. Jouppi,
Naveen Muralimanohar,
2010,
2010 ACM/IEEE International Conference for High Performance Computing, Networking, Storage and Analysis.
Yiran Chen,
Yuan Xie,
Guangyu Sun,
2014
.
Narayanan Vijaykrishnan,
Mary Jane Irwin,
Yuan Xie,
2007,
20th International Conference on VLSI Design held jointly with 6th International Conference on Embedded Systems (VLSID'07).
CNNLab: a Novel Parallel Framework for Neural Networks using GPU and FPGA-a Practical Study with Trade-off Analysis
pdf
Liu Liu,
Chao Wang,
Yuan Xie,
2016,
ArXiv.
Yuan Xie,
Jason Clemons,
Stephen W. Keckler,
2018,
ArXiv.
Qiaosha Zou,
Yuan Xie,
2014,
2014 ACM/IEEE International Workshop on System Level Interconnect Prediction (SLIP).
Jason Cong,
Yuan Xie,
Paul D. Franzon,
2008,
JETC.
Narayanan Vijaykrishnan,
Yuan Xie,
Han-Wei Chen,
2011,
2011 IEEE Computer Society Annual Symposium on VLSI.
Rong Luo,
Hui Wang,
Huazhong Yang,
2006,
2006 IEEE International SOC Conference.
Qi Tian,
Yuan Xie,
Zhizhong Zhang,
2017,
IEEE Transactions on Multimedia.
Chung-Ta King,
Narayanan Vijaykrishnan,
Matthew Poremba,
2013,
2013 IEEE 19th International Symposium on High Performance Computer Architecture (HPCA).
Yuan Xie,
Yang Du,
Jing Xie,
2014,
JETC.
Mahmut T. Kandemir,
Narayanan Vijaykrishnan,
Mary Jane Irwin,
2010,
J. Signal Process. Syst..
Yuan Xie,
Xiaoxia Wu,
W.L. Hung,
2006,
2006 IEEE/ACM International Conference on Computer Aided Design.
Narayanan Vijaykrishnan,
Mary Jane Irwin,
Yuan Xie,
2007,
8th International Symposium on Quality Electronic Design (ISQED'07).
Yu Wang,
Yao-Wen Chang,
Yuan Xie,
2010,
2010 15th Asia and South Pacific Design Automation Conference (ASP-DAC).
Yuan Xie,
Dylan Stow,
Itir Akgun,
2019,
2019 ACM/IEEE International Workshop on System Level Interconnect Prediction (SLIP).
Matthew Poremba,
Yuan Xie,
Gabriel H. Loh,
2017,
2017 ACM/IEEE 44th Annual International Symposium on Computer Architecture (ISCA).
Narayanan Vijaykrishnan,
Yuan Xie,
Soumya Eachempati,
2013,
JETC.
Yuan Xie,
Wayne H. Wolf,
2001,
Proceedings Design, Automation and Test in Europe. Conference and Exhibition 2001.
Cong Xu,
Yuan Xie,
Norman P. Jouppi,
2012,
ISLPED '12.
Liang Chang,
Zhaohao Wang,
Yuan Xie,
2017,
2017 IEEE/ACM International Conference on Computer-Aided Design (ICCAD).
Yuan Xie,
Seung H. Kang,
Mahendra Pakala,
2017,
IEEE Transactions on Electron Devices.
Yuan Xie,
David S. Kung,
2009,
IEEE Des. Test Comput..
Narayanan Vijaykrishnan,
Mary Jane Irwin,
Mahmut Kandemir,
2004,
ICCAD 2004.
Yuan Xie,
Jishen Zhao,
Jin Ouyang,
2015,
2015 52nd ACM/EDAC/IEEE Design Automation Conference (DAC).
Chita R. Das,
Narayanan Vijaykrishnan,
Reetuparna Das,
2007,
ISCA '07.
Xiaoxia Wu,
Yuan Xie,
Feng Wang,
2006,
2006 IEEE International SOC Conference.
Yuan Xie,
Feng Wang,
Andrés Takach,
2009,
2009 Asia and South Pacific Design Automation Conference.
Xiaoxia Wu,
Jian Li,
Yuan Xie,
2009,
2009 Design, Automation & Test in Europe Conference & Exhibition.
Yu Wang,
Yuan Xie,
Yibo Chen,
2010,
2010 15th Asia and South Pacific Design Automation Conference (ASP-DAC).
Xuebin Wu,
Zhiyuan Yan,
Yuan Xie,
2008,
2008 IEEE Workshop on Signal Processing Systems.
Wenguang Chen,
Yuan Xie,
Yu Ji,
2017,
2017 26th International Conference on Parallel Architectures and Compilation Techniques (PACT).
Narayanan Vijaykrishnan,
Mary Jane Irwin,
Yuan Xie,
2004,
International Symposium on Signals, Circuits and Systems. Proceedings, SCS 2003. (Cat. No.03EX720).
Yuan Xie,
Yuan Xie,
2011,
IEEE Design & Test of Computers.
Mahmut T. Kandemir,
Mary Jane Irwin,
Matthew Poremba,
2015,
TACO.
Narayanan Vijaykrishnan,
Yuan Xie,
Xueqing Li,
2017,
2017 22nd Asia and South Pacific Design Automation Conference (ASP-DAC).
Yiran Chen,
Kaushik Roy,
Cheng-Kok Koh,
2010,
IEEE Transactions on Very Large Scale Integration (VLSI) Systems.
Tao Zhang,
Cong Xu,
Yu Wang,
2016,
2016 ACM/IEEE 43rd Annual International Symposium on Computer Architecture (ISCA).
Narayanan Vijaykrishnan,
Yuan Xie,
Wayne H. Wolf,
2005,
Design, Automation and Test in Europe.
Yuan Xie,
Dacheng Tao,
Weiwei Wu,
2016,
IEEE Transactions on Geoscience and Remote Sensing.
System-level cost analysis and design exploration for three-dimensional integrated circuits (3D ICs)
Yuan Xie,
Xiangyu Dong,
Xiangyu Dong,
2009,
2009 Asia and South Pacific Design Automation Conference.
Narayanan Vijaykrishnan,
Yuan Xie,
Yang Zheng,
2015,
2015 IEEE 21st International Symposium on High Performance Computer Architecture (HPCA).
Yiran Chen,
Xiaoxia Wu,
Yuan Xie,
2011,
IET Comput. Digit. Tech..
Yuan Xie,
Jishen Zhao,
Xing Hu,
2018,
2018 51st Annual IEEE/ACM International Symposium on Microarchitecture (MICRO).
Cong Xu,
Yuan Xie,
Zhe Wang,
2014,
2014 IEEE 20th International Symposium on High Performance Computer Architecture (HPCA).
Qiaosha Zou,
Yuan Xie,
2016,
2016 13th IEEE International Conference on Solid-State and Integrated Circuit Technology (ICSICT).
Yuan Xie,
Ing-Chao Lin,
Yun Kae Law,
2018,
IEEE Transactions on Very Large Scale Integration (VLSI) Systems.
Mahmut T. Kandemir,
Guilin Chen,
Yuan Xie,
2005,
2005 International Conference on Computer Design.
Narayanan Vijaykrishnan,
Yuan Xie,
Jing Xie,
2012,
GLSVLSI '12.
Naehyuck Chang,
Yuan Xie,
Dimin Niu,
2010,
2010 Design, Automation & Test in Europe Conference & Exhibition (DATE 2010).
Yuan Xie,
Yibo Chen,
Charles Johnson,
2013,
IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems.
Yiran Chen,
Yuan Xie,
Dimin Niu,
2010,
2010 ACM/IEEE International Symposium on Low-Power Electronics and Design (ISLPED).
Mary Jane Irwin,
Aamer Jaleel,
Yuan Xie,
2016,
ISCA.
Xiaoxia Wu,
Jian Li,
Yuan Xie,
2010,
TACO.
Yuangang Wang,
Yuan Xie,
Jingtong Hu,
2018,
2018 Design, Automation & Test in Europe Conference & Exhibition (DATE).
Yuan Xie,
Yibo Chen,
Yuan Xie,
2009,
2009 Asia and South Pacific Design Automation Conference.
Narayanan Vijaykrishnan,
Yuan Xie,
Wayne H. Wolf,
2006,
IEEE Computer Society Annual Symposium on Emerging VLSI Technologies and Architectures (ISVLSI'06).
Mary Jane Irwin,
Aamer Jaleel,
Yuan Xie,
2016,
2016 ACM/IEEE 43rd Annual International Symposium on Computer Architecture (ISCA).
Yu Wang,
Huazhong Yang,
Yuan Xie,
2019,
IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems.
Narayanan Vijaykrishnan,
Xiaoxia Wu,
Yuan Xie,
2008,
2008 IEEE International Conference on Computer Design.
Narayanan Vijaykrishnan,
Mary Jane Irwin,
Yuan Xie,
2008,
9th International Symposium on Quality Electronic Design (isqed 2008).
Narayanan Vijaykrishnan,
Yuan Xie,
Vinay Saripalli,
2011,
GLSVLSI '11.
Cong Xu,
Yuan Xie,
Yang Zheng,
2015,
IEEE Transactions on Multi-Scale Computing Systems.
Narayanan Vijaykrishnan,
Yuan Xie,
Xueqing Li,
2015,
IEEE Micro.
Tao Zhang,
Cong Xu,
Ke Chen,
2014,
2014 ACM/IEEE 41st International Symposium on Computer Architecture (ISCA).
Narayanan Vijaykrishnan,
Yuan Xie,
Prasanth Mangalagiri,
2006,
2006 43rd ACM/IEEE Design Automation Conference.
Chita R. Das,
Narayanan Vijaykrishnan,
Yuan Xie,
2011,
2011 38th Annual International Symposium on Computer Architecture (ISCA).
Yuan Xie,
Wayne H. Wolf,
Haris Lekatsas,
2007,
IEEE Transactions on Very Large Scale Integration (VLSI) Systems.
Yuan Xie,
Yu Ji,
Xing Hu,
2019,
IEEE Computer Architecture Letters.
Qiaosha Zou,
Yuan Xie,
Jishen Zhao,
2017,
IEEE Design & Test.
Chita R. Das,
Yuan Xie,
Gabriel H. Loh,
2016,
2016 49th Annual IEEE/ACM International Symposium on Microarchitecture (MICRO).
Yuan Xie,
Jue Wang,
Xiangyu Dong,
2014,
ACM Trans. Archit. Code Optim..
Tao Zhang,
Kui Wang,
Yuan Xie,
2010,
IEEE Custom Integrated Circuits Conference 2010.
Yu Wang,
Yun Liang,
Xiaoming Chen,
2014,
2014 51st ACM/EDAC/IEEE Design Automation Conference (DAC).
Yu Wang,
Yici Cai,
Qiang Zhou,
2012,
17th Asia and South Pacific Design Automation Conference.
Narayanan Vijaykrishnan,
Mary Jane Irwin,
Yuan Xie,
2009,
IEEE Transactions on Dependable and Secure Computing.
Narayanan Vijaykrishnan,
Yuan Xie,
Yang Zheng,
2014,
2014 IEEE Computer Society Annual Symposium on VLSI.
Yuan Xie,
Balaji Vaidyanathan,
Anthony S. Oates,
2009,
2009 IEEE/ACM International Conference on Computer-Aided Design - Digest of Technical Papers.
Yuan Xie,
Shuangchen Li,
Gushu Li,
2018,
MEMSYS.
Xiaoxia Wu,
Yuan Xie,
Krishnendu Chakrabarty,
2009,
JETC.
Yuan Xie,
Yan Luo,
Feng Wang,
2006,
IEEE Computer Society Annual Symposium on Emerging VLSI Technologies and Architectures (ISVLSI'06).
Mahmut T. Kandemir,
Mary Jane Irwin,
Matthew Poremba,
2014,
2014 IEEE/ACM International Symposium on Low Power Electronics and Design (ISLPED).
Narayanan Vijaykrishnan,
Yuan Xie,
Melvin Eze,
2006,
IEEE Computer Society Annual Symposium on Emerging VLSI Technologies and Architectures (ISVLSI'06).
Mahmut T. Kandemir,
Yuan Xie,
Suleyman Tosun,
2005,
Sixth international symposium on quality electronic design (isqed'05).
Yuan Xie,
Jishen Zhao,
Xiangyu Dong,
2010,
IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems.
Yuan Xie,
Krishnendu Chakrabarty,
Brandon Noia,
2009,
2009 IEEE International Conference on Computer Design.
Tao Zhang,
Matthew Poremba,
Yuan Xie,
2016,
2016 53nd ACM/EDAC/IEEE Design Automation Conference (DAC).
Yu Wang,
Huazhong Yang,
Yuan Xie,
2013,
International Symposium on Quality Electronic Design (ISQED).
Ying Zhang,
Yuan Xie,
Leibo Liu,
2019
.
Narayanan Vijaykrishnan,
Yuan Xie,
Prasanth Mangalagiri,
2007,
2007 25th International Conference on Computer Design.
Yuan Xie,
M. Kandemir,
J. Conner,
2005,
Proceedings of the ASP-DAC 2005. Asia and South Pacific Design Automation Conference, 2005..
Cong Xu,
Shimeng Yu,
Yuan Xie,
2014,
GLSVLSI '14.
Yuan Xie,
Wayne H. Wolf,
Haris Lekatsas,
2001,
Data Compression Conference.
Mahmut T. Kandemir,
Narayanan Vijaykrishnan,
Yuan Xie,
2018,
ASPLOS.
Tao Zhang,
Shau-Yin Tseng,
Yuan Xie,
2011,
2011 IEEE 54th International Midwest Symposium on Circuits and Systems (MWSCAS).
Narayanan Vijaykrishnan,
Mary Jane Irwin,
Yuan Xie,
2004,
IEEE International Conference on Computer Design: VLSI in Computers and Processors, 2004. ICCD 2004. Proceedings..
Paul Marchal,
Yuan Xie,
2011,
IET Comput. Digit. Tech..
Yuan Xie,
Wayne H. Wolf,
Haris Lekatsas,
2003,
2003 Design, Automation and Test in Europe Conference and Exhibition.
Wenguang Chen,
Yuan Xie,
Yu Ji,
2017,
ASPLOS.
Yuan Xie,
Norman P. Jouppi,
2009,
ISLPED.
Yuan Xie,
Dacheng Tao,
Hanzi Wang,
2016,
IEEE Transactions on Image Processing.
Meng-Fan Chang,
Yu Wang,
Huazhong Yang,
2017,
VLSIT 2017.
Qiang Xu,
Yuan Xie,
Lian Duan,
2010,
2010 IEEE International Test Conference.
Qiaosha Zou,
Yuan Xie,
Jing Xie,
2013,
International Symposium on Quality Electronic Design (ISQED).
Yuan Xie,
Xiangyu Dong,
2011,
16th Asia and South Pacific Design Automation Conference (ASP-DAC 2011).
Yuan Xie,
Yang Du,
Jing Xie,
2013,
International Symposium on Quality Electronic Design (ISQED).
Yuan Xie,
Norman P. Jouppi,
Naveen Muralimanohar,
2011,
TACO.
Yuan Xie,
Lei Deng,
Xing Hu,
2019,
ACM Great Lakes Symposium on VLSI.
Dong Li,
Jeffrey S. Vetter,
Matthew Poremba,
2015,
2015 Design, Automation & Test in Europe Conference & Exhibition (DATE).
Yuan Xie,
Yuchun Ma,
2008,
2008 9th International Conference on Solid-State and Integrated-Circuit Technology.
Yuan Xie,
Yibo Chen,
Charles Johnson,
2011,
IEEE/ACM International Symposium on Low Power Electronics and Design.
Yuan Xie,
Jin Ouyang,
2010,
2010 43rd Annual IEEE/ACM International Symposium on Microarchitecture.
Yuan Xie,
Xing Hu,
Wenqin Huangfu,
2018,
2018 55th ACM/ESDA/IEEE Design Automation Conference (DAC).
Jung Ho Ahn,
Ke Chen,
Yuan Xie,
2012,
2012 International Conference for High Performance Computing, Networking, Storage and Analysis.
Yuan Xie,
Dimin Niu,
Guangyu Sun,
2011,
16th Asia and South Pacific Design Automation Conference (ASP-DAC 2011).
Tianzhu Zhang,
Yuan Xie,
Wensheng Zhang,
2017,
IEEE Transactions on Cybernetics.
Narayanan Vijaykrishnan,
Mary Jane Irwin,
Yuan Xie,
2005,
ASP-DAC.
Mary Jane Irwin,
Yuan Xie,
Hsiang-Yun Cheng,
2015,
ACM Trans. Design Autom. Electr. Syst..
Matthew Poremba,
Yuan Xie,
Jing Xie,
2010,
2010 IEEE/ACM International Conference on Computer-Aided Design (ICCAD).
Yuan Xie,
Krishnendu Chakrabarty,
Dimin Niu,
2010,
2010 IEEE/ACM International Conference on Computer-Aided Design (ICCAD).
Qiaosha Zou,
Yuan Xie,
Guangyu Sun,
2012,
2012 Design, Automation & Test in Europe Conference & Exhibition (DATE).
Yuan Xie,
Jishen Zhao,
Jing Xie,
2010,
2010 IEEE Asia Pacific Conference on Circuits and Systems.
Tao Zhang,
Yuan Xie,
Frank Mueller,
2009,
CASES '09.
Cong Xu,
Yuan Xie,
Christopher J. Hughes,
2011,
2011 38th Annual International Symposium on Computer Architecture (ISCA).
Yuan Xie,
Bin Jiao,
2011
.
Narayanan Vijaykrishnan,
Mary Jane Irwin,
Yuan Xie,
2005,
Sixth international symposium on quality electronic design (isqed'05).
Yuan Xie,
Balaji Vaidyanathan,
Feng Wang,
2011,
IEEE Transactions on Dependable and Secure Computing.
Wenguang Chen,
Yuan Xie,
Yu Ji,
2016,
2016 International Conference on Compliers, Architectures, and Sythesis of Embedded Systems (CASES).
Yuan Xie,
Yanyun Qu,
Yan Zhang,
2020,
Pattern Recognit..
Tao Zhang,
Qiaosha Zou,
Yuan Xie,
2013,
2013 Design, Automation & Test in Europe Conference & Exhibition (DATE).
Yiran Chen,
Yuan Xie,
Dimin Niu,
2010,
HPCA - 16 2010 The Sixteenth International Symposium on High-Performance Computer Architecture.
Yu Wang,
Yidong Huang,
Huazhong Yang,
2014,
IEEE Design & Test.
Qiaosha Zou,
Yuan Xie,
Eren Kursun,
2017,
IEEE Transactions on Very Large Scale Integration (VLSI) Systems.
Jin Liu,
Juan Li,
Yuan Xie,
2014,
Future Gener. Comput. Syst..
Cong Xu,
Yuan Xie,
Jishen Zhao,
2015,
IPSJ Trans. Syst. LSI Des. Methodol..
Yuan Xie,
Gabriel H. Loh,
Bryan Black,
2007,
IEEE Micro.
Yuan Xie,
Jin Ouyang,
2008,
2008 IEEE International SOC Conference.
Design methodologies for 3D mixed signal integrated circuits: A practical 12-bit SAR ADC design case
Yu Wang,
Huazhong Yang,
Guoqing Chen,
2014,
2014 51st ACM/EDAC/IEEE Design Automation Conference (DAC).
Chita R. Das,
Reetuparna Das,
Xiaoxia Wu,
2010,
Design Automation Conference.
Mahmut T. Kandemir,
Narayanan Vijaykrishnan,
Mary Jane Irwin,
2005,
Design, Automation and Test in Europe.
Tianzhu Zhang,
Yuan Xie,
Wensheng Zhang,
2017,
IEEE Transactions on Circuits and Systems for Video Technology.
Luca P. Carloni,
Yuan Xie,
Partha Pande,
2009,
2009 3rd ACM/IEEE International Symposium on Networks-on-Chip.
Yuan Xie,
Xing Hu,
Shuangchen Li,
2019,
2019 Design, Automation & Test in Europe Conference & Exhibition (DATE).
Yuan Xie,
2013,
2013 Design, Automation & Test in Europe Conference & Exhibition (DATE).
Yuan Xie,
Kun Wu,
Xing Hu,
2019,
2019 56th ACM/IEEE Design Automation Conference (DAC).
Yuan Xie,
Wang-Chien Lee,
Ping Chi,
2016,
IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems.
Meng-Fan Chang,
Huazhong Yang,
Yuan Xie,
2017,
2017 Symposium on VLSI Technology.
Yuan Xie,
Dacheng Tao,
Hanzi Wang,
2014,
ArXiv.
Yu Wang,
Narayanan Vijaykrishnan,
Yu Cao,
2009,
2009 Asia and South Pacific Design Automation Conference.
Yuan Xie,
Yanyun Qu,
Wensheng Zhang,
2014,
ICIMCS '14.
Yuan Xie,
Norman P. Jouppi,
Naveen Muralimanohar,
2009,
Proceedings of the Conference on High Performance Computing Networking, Storage and Analysis.
Narayanan Vijaykrishnan,
Yuan Xie,
Soumya Eachempati,
2011,
2011 48th ACM/EDAC/IEEE Design Automation Conference (DAC).
Yu Hu,
Yuan Xie,
Yi Xu,
2014,
2014 19th Asia and South Pacific Design Automation Conference (ASP-DAC).
Yuan Xie,
Jin Ouyang,
Yibo Chen,
2008,
2008 IEEE International SOC Conference.
Yuan Xie,
Jiang Xu,
Yaoyao Ye,
2009,
2009 IEEE International Conference on 3D System Integration.
Onur Mutlu,
Yuan Xie,
Jishen Zhao,
2014,
2014 47th Annual IEEE/ACM International Symposium on Microarchitecture.
Tao Zhang,
Cong Xu,
Shimeng Yu,
2015,
2015 IEEE 21st International Symposium on High Performance Computer Architecture (HPCA).
Wei Yang,
Qiaosha Zou,
Matthew Poremba,
2015,
The 20th Asia and South Pacific Design Automation Conference.
Yuan Xie,
Peng Gu,
Dylan Stow,
2016,
2016 IEEE/ACM International Conference on Computer-Aided Design (ICCAD).
Robust Kernelized Multi-View Self-Representations for Clustering by Tensor Multi-Rank Minimization
pdf
Yuan Xie,
Yanyun Qu,
Wensheng Zhang,
2017,
ArXiv.
Narayanan Vijaykrishnan,
Yuan Xie,
Guangyu Sun,
2011,
3D Integration for NoC-based SoC Architectures.
Alex K. Jones,
Yuan Xie,
R. Iris Bahar,
2015,
ACM Trans. Design Autom. Electr. Syst..
Yu Wang,
Yu Cao,
Xiaoming Chen,
2013,
IEEE Design & Test.
Yuan Xie,
Jue Wang,
Xiangyu Dong,
2014,
TACO.
Tao Zhang,
Yuan Xie,
Jia Di,
2009,
2009 IEEE International Conference on 3D System Integration.
Yuan Xie,
Yu Ji,
Xing Hu,
2019,
2019 2nd Workshop on Energy Efficient Machine Learning and Cognitive Computing for Embedded Applications (EMC2).
Cong Xu,
Shimeng Yu,
Yuan Xie,
2014,
2014 IEEE/ACM International Conference on Computer-Aided Design (ICCAD).
Hai Lin,
Anand Sivasubramaniam,
Yuan Xie,
2008,
2008 Symposium on Application Specific Processors.
Xiaoxia Wu,
Yuan Xie,
Wei-Lun Hung,
2006,
ICCAD.
Yuan Xie,
Zejian Yuan,
Yanyun Qu,
2009,
ICIMCS '09.
Mahmut T. Kandemir,
Narayanan Vijaykrishnan,
Mary Jane Irwin,
2004,
ASAP.
Chita R. Das,
Cong Xu,
Narayanan Vijaykrishnan,
2012,
DAC Design Automation Conference 2012.
Huazhong Yang,
Yuan Xie,
Ming Cheng,
2019,
IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems.
Narayanan Vijaykrishnan,
Mary Jane Irwin,
Yuan Xie,
2008,
GLSVLSI '08.
Yuan Xie,
Jishen Zhao,
Xiangyu Dong,
2011,
2011 Design, Automation & Test in Europe.
Yuan Xie,
Norman P. Jouppi,
Jue Wang,
2014,
TACO.
Yuan Xie,
Dimin Niu,
Guangyu Sun,
2011,
2011 IEEE 29th International Conference on Computer Design (ICCD).
Yuan Xie,
Wayne H. Wolf,
Haris Lekatsas,
2001,
MICRO.
Tao Zhang,
Cong Xu,
Yuan Xie,
2016,
Journal of Computer Science and Technology.
Yuan Xie,
Yanyun Qu,
Cuihua Li,
2012,
Pattern Recognit. Lett..
Wenguang Chen,
Chao Wang,
Yuan Xie,
2017,
IEEE Transactions on Very Large Scale Integration (VLSI) Systems.
Tao Zhang,
Cong Xu,
Yuan Xie,
2014,
2014 IEEE/ACM International Conference on Computer-Aided Design (ICCAD).
Cong Xu,
Yuan Xie,
Guangyu Sun,
2012,
2012 Design, Automation & Test in Europe Conference & Exhibition (DATE).
Yu Wang,
Yuan Xie,
Yibo Chen,
2012,
J. Electr. Comput. Eng..
Meng-Fan Chang,
Yuan Xie,
Heng-Yuan Lee,
2017,
2017 IEEE International Electron Devices Meeting (IEDM).
Huazhong Yang,
Yuan Xie,
Guangyu Sun,
2012,
TODE.
Yuan Xie,
Jishen Zhao,
Gabriel H. Loh,
2012,
ISLPED '12.
Yuan Xie,
Norman P. Jouppi,
Jue Wang,
2013,
2013 IEEE 19th International Symposium on High Performance Computer Architecture (HPCA).
Yuan Xie,
Chuan Wang,
Guanbin Li,
2019,
2019 IEEE/CVF International Conference on Computer Vision (ICCV).
Yuan Xie,
Wayne H. Wolf,
Haris Lekatsas,
2002,
15th International Symposium on System Synthesis, 2002..
Yuan Xie,
Bin Jiang,
Max Wintermark,
2019,
Front. Neurol..
Yuan Xie,
Wensheng Zhang,
Wenrui Hu,
2017,
IEEE Transactions on Image Processing.
Yu Wang,
Huazhong Yang,
Yuan Xie,
2018,
IEEE Journal on Emerging and Selected Topics in Circuits and Systems.
Cong Xu,
Yuan Xie,
Jishen Zhao,
2011,
2011 IEEE/ACM International Conference on Computer-Aided Design (ICCAD).
Yang Xiao,
Yuan Xie,
Dimin Niu,
2012,
17th Asia and South Pacific Design Automation Conference.
Yuan Xie,
Bi Wu,
Seung H. Kang,
2018,
IEEE Transactions on Very Large Scale Integration (VLSI) Systems.
Yu Hu,
Guoqing Chen,
Yuan Xie,
2014,
2014 51st ACM/EDAC/IEEE Design Automation Conference (DAC).
Yuan Xie,
Jishen Zhao,
Yibo Chen,
2010,
2010 ACM/IEEE International Symposium on Low-Power Electronics and Design (ISLPED).
Yu Wang,
Shimeng Yu,
Yu Cao,
2016,
IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems.
Narayanan Vijaykrishnan,
Mary Jane Irwin,
Yuan Xie,
2005,
Design, Automation and Test in Europe.
Yuan Xie,
M.J. Irwin,
V. Narayanan,
2005,
Proceedings of the ASP-DAC 2005. Asia and South Pacific Design Automation Conference, 2005..
Qiaosha Zou,
Yuan Xie,
Dimin Niu,
2014,
2014 19th Asia and South Pacific Design Automation Conference (ASP-DAC).
Yu Wang,
Yiran Chen,
Yuan Xie,
2014,
IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems.
Tao Zhang,
Cong Xu,
Qiaosha Zou,
2014,
GLSVLSI '14.
Wenguang Chen,
Yuan Xie,
Yu Ji,
2016,
2016 49th Annual IEEE/ACM International Symposium on Microarchitecture (MICRO).
Narayanan Vijaykrishnan,
Mary Jane Irwin,
Yuan Xie,
2007,
8th International Symposium on Quality Electronic Design (ISQED'07).
Li Zhao,
Yuan Xie,
Xing Hu,
2019,
2019 IEEE International Symposium on High Performance Computer Architecture (HPCA).
Yuan Xie,
Dacheng Tao,
Lei Zhang,
2016,
ArXiv.
Yuan Xie,
Jue Wang,
Xiangyu Dong,
2013,
2013 Design, Automation & Test in Europe Conference & Exhibition (DATE).
Xiaoxia Wu,
Jian Li,
Yuan Xie,
2009,
ISCA '09.
Yuan Xie,
Zhiwen Liu,
Dimin Niu,
2011,
ICS '11.
Narayanan Vijaykrishnan,
Mary Jane Irwin,
Yuan Xie,
2006,
19th International Conference on VLSI Design held jointly with 5th International Conference on Embedded Systems Design (VLSID'06).
Yuan Xie,
Wayne H. Wolf,
Chang Hong Lin,
2004,
Proceedings Design, Automation and Test in Europe Conference and Exhibition.
Yuan Xie,
Tao Zhang,
Yuan Xie,
2018,
2018 IEEE CSAA Guidance, Navigation and Control Conference (CGNCC).
Yuan Xie,
Lei Deng,
Guoqi Li,
2019,
Physical review. E.
Cihangir Celik,
Mary Jane Irwin,
Yuan Xie,
2007
.
Yuan Xie,
Jin Ouyang,
2011,
16th Asia and South Pacific Design Automation Conference (ASP-DAC 2011).
Mahmut T. Kandemir,
Narayanan Vijaykrishnan,
Mary Jane Irwin,
2004,
IEEE/ACM International Conference on Computer Aided Design, 2004. ICCAD-2004..
Narayanan Vijaykrishnan,
Mary Jane Irwin,
Yuan Xie,
2008,
IEEE Transactions on Dependable and Secure Computing.
Mahmut T. Kandemir,
Narayanan Vijaykrishnan,
Yuan Xie,
2006,
33rd International Symposium on Computer Architecture (ISCA'06).
Ku He,
Rong Luo,
Yu Wang,
2007,
8th International Symposium on Quality Electronic Design (ISQED'07).
Narayanan Vijaykrishnan,
Yuan Xie,
Wenping Wang,
2008,
IEEE Transactions on Very Large Scale Integration (VLSI) Systems.
Yu Wang,
Huazhong Yang,
Yuan Xie,
2017,
2017 54th ACM/EDAC/IEEE Design Automation Conference (DAC).
Cong Xu,
Yuan Xie,
Yang Zheng,
2015,
The 20th Asia and South Pacific Design Automation Conference.
Cong Xu,
Shimeng Yu,
Yuan Xie,
2014,
2014 19th Asia and South Pacific Design Automation Conference (ASP-DAC).
Mahmut T. Kandemir,
Yuan Xie,
Ozcan Ozturk,
2006,
Asia and South Pacific Conference on Design Automation, 2006..
Qiaosha Zou,
Matthew Poremba,
Yuan Xie,
2014,
2014 International 3D Systems Integration Conference (3DIC).
Yu Wang,
Yuan Xie,
Jing Xie,
2012,
17th Asia and South Pacific Design Automation Conference.
Meng-Fan Chang,
Jiwu Shu,
Huazhong Yang,
2015,
2015 52nd ACM/EDAC/IEEE Design Automation Conference (DAC).
Narayanan Vijaykrishnan,
Mary Jane Irwin,
Yuan Xie,
2003,
VLSI-SoC.
Yuan Xie,
Yanyun Qu,
Cuihua Li,
2018,
ArXiv.
Liu Liu,
Yuan Xie,
Shuangchen Li,
2017,
2017 22nd Asia and South Pacific Design Automation Conference (ASP-DAC).
Yu Wang,
Yu Cao,
Xiaoming Chen,
2011,
IEEE Transactions on Very Large Scale Integration (VLSI) Systems.
Liu Liu,
Yuan Xie,
Shuangchen Li,
2016,
2016 International Great Lakes Symposium on VLSI (GLSVLSI).
Yuan Xie,
Guangyu Sun,
Jia Zhan,
2014,
2014 51st ACM/EDAC/IEEE Design Automation Conference (DAC).
Yuan Xie,
Jiang Xu,
Wayne H. Wolf,
2003,
J. Circuits Syst. Comput..
Yuan Xie,
Zhi-Feigao,
Yong-Bin Xu,
2015,
2015 International Conference on Wavelet Analysis and Pattern Recognition (ICWAPR).
Yuan Xie,
Yibo Chen,
Yuan Xie,
2009,
IEEE Design & Test of Computers.
Yuan Xie,
Jue Wang,
Xiangyu Dong,
2014,
2014 IEEE 32nd International Conference on Computer Design (ICCD).
Yu Wang,
Yu Cao,
Xiaoming Chen,
2013,
IET Circuits Devices Syst..
Cong Xu,
Yuan Xie,
Norman P. Jouppi,
2013,
2013 IEEE/ACM International Conference on Computer-Aided Design (ICCAD).
Jing Ye,
Yuan Xie,
Lei Deng,
2019,
2019 Design, Automation & Test in Europe Conference & Exhibition (DATE).
Yuan Xie,
Xing Hu,
Shuangchen Li,
2018,
Design Automation Conference.
Yuan Xie,
Wensheng Zhang,
Wenrui Hu,
2014,
Neurocomputing.
Chita R. Das,
Narayanan Vijaykrishnan,
Yuan Xie,
2006,
19th International Conference on VLSI Design held jointly with 5th International Conference on Embedded Systems Design (VLSID'06).
Cong Xu,
O Seongil,
Jung Ho Ahn,
2015,
ICS.
Narayanan Vijaykrishnan,
Yuan Xie,
Guangyu Sun,
2009,
2009 Asia and South Pacific Design Automation Conference.
Yuan Xie,
2009,
SIGD.
Yuan Xie,
Guangyu Sun,
Vinay Saripalli,
2011
.
Rong Luo,
Huazhong Yang,
Yuan Xie,
2005,
2005 6th International Conference on ASIC.
Narayanan Vijaykrishnan,
Mary Jane Irwin,
Yuan Xie,
2005,
18th International Conference on VLSI Design held jointly with 4th International Conference on Embedded Systems Design.
Cong Xu,
Yuan Xie,
Xiaochun Zhu,
2014,
Fifteenth International Symposium on Quality Electronic Design.
Matthew Poremba,
Yuan Xie,
Matthew Poremba,
2012,
2012 IEEE Computer Society Annual Symposium on VLSI.
Tao Zhang,
Kui Wang,
Yuan Xie,
2010,
2010 IEEE International 3D Systems Integration Conference (3DIC).
Rong Luo,
Yuan Xie,
Qian Ding,
2005,
2005 6th International Conference on ASIC.
Ku He,
Rong Luo,
Yu Wang,
2007,
PATMOS.
Yuan Xie,
Wei-Lun Hung,
2006
.
Cong Xu,
Yuan Xie,
Norman P. Jouppi,
2011,
2011 Design, Automation & Test in Europe.
Yuan Xie,
Zhigang Hu,
2005,
2005 6th International Conference on ASIC.
Yuan Xie,
Lei Deng,
Luping Shi,
2018,
IEEE Transactions on Neural Networks and Learning Systems.
Cong Xu,
Yiran Chen,
Yuan Xie,
2010,
Design Automation Conference.
Yuan Xie,
Jianlei Yang,
Yuanqing Cheng,
2016,
2016 IEEE/ACM International Conference on Computer-Aided Design (ICCAD).
Xiaoxia Wu,
Yuan Xie,
Feng Wang,
2008,
2008 Asia and South Pacific Design Automation Conference.
Yuan Xie,
Wang-Chien Lee,
Ping Chi,
2014,
2014 IEEE/ACM International Symposium on Low Power Electronics and Design (ISLPED).
Yuan Xie,
Sang Min Oh,
Abanti Basak,
2018,
IEEE Computer Architecture Letters.
Yuangang Wang,
Yuan Xie,
Itir Akgun,
2016,
2016 IEEE 34th International Conference on Computer Design (ICCD).
Narayanan Vijaykrishnan,
Yuan Xie,
Wenping Wang,
2005,
Proceedings of the ASP-DAC 2005. Asia and South Pacific Design Automation Conference, 2005..
Cong Xu,
Qiaosha Zou,
Yuan Xie,
2013,
2013 IEEE 31st International Conference on Computer Design (ICCD).
Yuan Xie,
Hui Zhao,
Xianwei Cheng,
2018,
2018 55th ACM/ESDA/IEEE Design Automation Conference (DAC).
Chang Liu,
Yuan Xie,
Lei Deng,
2020,
ASPLOS.
Yuan Xie,
Lei Deng,
Guoqi Li,
2018,
IEEE Access.
Narayanan Vijaykrishnan,
Yuan Xie,
Xueqing Li,
2017,
ACM Trans. Embed. Comput. Syst..
Yu Wang,
Peng Li,
Ang Li,
2015,
2015 IEEE/ACM International Symposium on Low Power Electronics and Design (ISLPED).
Yu Wang,
Yuan Xie,
Xing Hu,
2021,
IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems.
Yuan Xie,
Hongzhong Zheng,
Dimin Niu,
2021,
ArXiv.
Yuan Xie,
Chrysostomos Nicopoulos,
Emanuele Lattanzi,
2004
.
Yuan Xie,
Balaji Vaidyanathan,
N. Vijaykrishnan,
2006
.
Yu Cao,
Huazhong Yang,
Yuan Xie,
2011,
2011 IEEE 54th International Midwest Symposium on Circuits and Systems (MWSCAS).
Yuan Xie,
N. Vijaykrishnan,
Shengqi Yang,
2005,
Proceedings of the ASP-DAC 2005. Asia and South Pacific Design Automation Conference, 2005..