Xiang Chen

发表

Yuncai Ning, Xiang Chen, 2009, 2009 International Conference on Artificial Intelligence and Computational Intelligence.

Yiran Chen, Chun Jason Xue, Jian Zheng, 2012, DAC Design Automation Conference 2012.

Xiqiang Chang, Xin Zhang, Chao Zheng, 2015, 2015 5th International Conference on Electric Utility Deregulation and Restructuring and Power Technologies (DRPT).

Yiran Chen, Zhan Ma, Xiang Chen, 2013, HotMobile '13.

Xin Guo, Fa Zhang, Xiang Chen, 2008, 2008 International Symposium on Information Science and Engineering.

Chong-Yung Chi, Shidong Zhou, Yan Yao, 2007, 2007 Second International Conference on Communications and Networking in China.

Jian Yan, Xiang Chen, Chunli Liu, 2015, China Communications.

Yiran Chen, Xiang Chen, Kent W. Nixon, 2016, 2016 29th IEEE International System-on-Chip Conference (SOCC).

Yiran Chen, Chun Jason Xue, Mengying Zhao, 2012, 2012 IEEE/ACM International Conference on Computer-Aided Design (ICCAD).

Jiayu Li, Yanzhi Wang, Jian Tang, 2018, ArXiv.

Heping Zhang, Xiang Chen, Heping Zhang, 2009, Statistics in biosciences.

Yiran Chen, Zhuwei Qin, Zirui Xu, 2017, 2017 IEEE/ACM International Conference on Computer-Aided Design (ICCAD).

Xiang Chen, Wei Chen, Wei Chen, 2013, 2013 IEEE International Wireless Symposium (IWS).

Jing Wang, Chunhui Zhou, Yifei Zhao, 2010, 2010 IEEE 12th International Conference on Communication Technology.

Jing Wang, Xiang Chen, V. G. Kamdem, 2012, 2012 4th International High Speed Intelligent Communication Forum.

Zhi-Hua Bao, Xiang Chen, Kun-Chi Wang, 2011, 2011 4th International Congress on Image and Signal Processing.

Jing Wang, Yunzhou Li, Hanying Hu, 2008, 2008 Third International Conference on Communications and Networking in China.

Yiran Chen, Jianzhong Zhang, Xiang Chen, 2014, 2014 51st ACM/EDAC/IEEE Design Automation Conference (DAC).

Yiran Chen, Chun Jason Xue, Mengying Zhao, 2012, 2012 IEEE/ACM International Conference on Computer-Aided Design (ICCAD).

Chao Wu, Xin-Jun Liu, Fugui Xie, 2011, 2011 IEEE International Conference on Mechatronics.

Wei Sun, Jingming Kuang, Zesong Fei, 2008, 2008 11th IEEE International Conference on Communication Technology.

Yiran Chen, Zhuwei Qin, Zirui Xu, 2017, 2017 IEEE/ACM International Conference on Computer-Aided Design (ICCAD).

Yiran Chen, Xiang Chen, Kent W. Nixon, 2016, 2016 21st Asia and South Pacific Design Automation Conference (ASP-DAC).

Yiran Chen, Xiang Chen, Kent W. Nixon, 2016, 2016 IEEE/ACM International Conference on Computer-Aided Design (ICCAD).

Wei Zhang, Yiran Chen, Hai Li, 2012, 17th Asia and South Pacific Design Automation Conference.

Chenchen Liu, Xiang Chen, Fuxun Yu, 2018, 2018 IEEE Computer Society Annual Symposium on VLSI (ISVLSI).

Yiran Chen, Hai Li, Xiang Chen, 2017, 2017 30th IEEE International System-on-Chip Conference (SOCC).

Jie Yang, Xin-Jun Liu, Xiang Chen, 2012, 2012 IEEE International Conference on Mechatronics and Automation.

Yiran Chen, Jiachen Mao, Xiang Chen, 2016, 2016 53nd ACM/EDAC/IEEE Design Automation Conference (DAC).

Yiran Chen, Jiachen Mao, Xiang Chen, 2016, 2016 International Symposium on Rapid System Prototyping (RSP).

Jingming Kuang, Zesong Fei, Xiang Chen, 2008, 2008 11th IEEE Singapore International Conference on Communication Systems.

Yiran Chen, Zhi-Hong Mao, Kang Li, 2013, 2013 18th Asia and South Pacific Design Automation Conference (ASP-DAC).

Huazhong Yang, Yu Wang, Xiang Chen, 2013, 2013 8th International Conference on Communications and Networking in China (CHINACOM).

Limin Xiao, Jing Wang, Shidong Zhou, 2012, 2012 4th International High Speed Intelligent Communication Forum.

Yiran Chen, Zhi-Hong Mao, Xiang Chen, 2016, 2016 21st Asia and South Pacific Design Automation Conference (ASP-DAC).

Jing Wang, Ove Edfors, Peter Hammarberg, 2010, 2010 Wireless Advanced 2010.

Yiran Chen, Wenqing Wu, Hai Li, 2011, 2011 IEEE Custom Integrated Circuits Conference (CICC).

Yuncai Ning, Xiang Chen, 2009, 2009 Second International Conference on Future Information Technology and Management Engineering.

Xin-Jun Liu, Xiang Chen, Yuzhen Chen, 2013, 2013 IEEE International Conference on Mechatronics and Automation.

Yiran Chen, Chunpeng Wu, Hai Li, 2017, 2017 IEEE/ACM International Conference on Computer-Aided Design (ICCAD).

Chenchen Liu, Liang Zhao, Zhuwei Qin, 2018, ArXiv.

Yiran Chen, Chun Jason Xue, Xiang Chen, 2015, 2015 52nd ACM/EDAC/IEEE Design Automation Conference (DAC).

Jean Kor, Zhizhong Sun, Xiang Chen, 2009, 2009 Second International Conference on Future Information Technology and Management Engineering.