Ulf Schlichtmann

发表

Shushanik Karapetyan, Ulf Schlichtmann, Ulf Schlichtmann, 2015, VLSI Design, Automation and Test(VLSI-DAT).

Ulf Schlichtmann, Dominik Lorenz, Martin Barke, 2012, Microelectron. Reliab..

Ulf Schlichtmann, Grace Li Zhang, Michaela Brunner, 2020, 2020 25th Asia and South Pacific Design Automation Conference (ASP-DAC).

Ulf Schlichtmann, Giovanni Squillero, Riccardo Cantoro, 2020, 2020 IEEE International Test Conference (ITC).

Shushanik Karapetyan, Ulf Schlichtmann, 2017, 2017 14th International Conference on Synthesis, Modeling, Analysis and Simulation Methods and Applications to Circuit Design (SMACD).

Ulf Schlichtmann, Dimo Martev, Sven Hampel, 2016, 2016 13th International Conference on Synthesis, Modeling, Analysis and Simulation Methods and Applications to Circuit Design (SMACD).

Ulf Schlichtmann, Bing Li, 2017, 2017 IEEE International Symposium on Defect and Fault Tolerance in VLSI and Nanotechnology Systems (DFT).

Ulf Schlichtmann, Manuel Schmidt, Harald Kinzelbach, 2008 .

Mohamed Ibrahim, Ulf Schlichtmann, Krishnendu Chakrabarty, 2017, 2017 IEEE/ACM International Conference on Computer-Aided Design (ICCAD).

Ulrich Rührmair, Ulf Schlichtmann, Qingqing Chen, 2010, IACR Cryptol. ePrint Arch..

Ulf Schlichtmann, Tsung-Yi Ho, Mengchu Li, 2019, 2019 IEEE/ACM International Conference on Computer-Aided Design (ICCAD).

Jacob A. Abraham, Kevin Skadron, Mircea R. Stan, 2019, DAC.

Yici Cai, Ulf Schlichtmann, Tsung-Yi Ho, 2017, IEEE Transactions on Biomedical Circuits and Systems.

Yang Xu, Ning Chen, Ulf Schlichtmann, 2013, IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems.

Ulf Schlichtmann, Daniel Mueller-Gritschneder, Kun Lu, 2013, 2013 Design, Automation & Test in Europe Conference & Exhibition (DATE).

Ulf Schlichtmann, Daniel Mueller-Gritschneder, Marc Greim, 2016, 2016 International Symposium on Integrated Circuits (ISIC).

Ulf Schlichtmann, Davide Bertozzi, Mengchu Li, 2018, 2018 IEEE/ACM International Conference on Computer-Aided Design (ICCAD).

Ulf Schlichtmann, Peter Spindler, Frank M. Johannes, 2008, IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems.

Ulf Schlichtmann, Felix Last, Max Haeberlein, 2020, ACM Trans. Design Autom. Electr. Syst..

Ulf Schlichtmann, Jiang Hu, Rohit Kumar, 2015, 2015 Design, Automation & Test in Europe Conference & Exhibition (DATE).

Bing Li, Ulf Schlichtmann, Ulf Schlichtmann, 2012 .

Yiyu Shi, Ulf Schlichtmann, Grace Li Zhang, 2018, IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems.

Norbert Wehn, Sani R. Nassif, Ulf Schlichtmann, 2013, IEEE Micro.

Ulf Schlichtmann, Daniel Mueller-Gritschneder, Amit Verma, 2013, 2013 Seventh IEEE/ACM International Symposium on Networks-on-Chip (NoCS).

Huaxi Gu, Ulf Schlichtmann, Tsung-Yi Ho, 2020, 2020 IEEE/ACM International Conference On Computer Aided Design (ICCAD).

Ulf Schlichtmann, F. Brglez, F. Brglez, 1993, Proceedings of IEEE Custom Integrated Circuits Conference - CICC '93.

Ulf Schlichtmann, Dominik Lorenz, Georg Georgakos, 2010, it Inf. Technol..

Ulf Schlichtmann, Tsung-Yi Ho, Bing Li, 2016, 2016 Design, Automation & Test in Europe Conference & Exhibition (DATE).

Ulf Schlichtmann, Samarjit Chakraborty, Georg Georgakos, 2013, 2013 50th ACM/EDAC/IEEE Design Automation Conference (DAC).

Ulf Schlichtmann, Martin Barke, Markus Olbrich, 2014, Microelectron. Reliab..

Ulf Schlichtmann, Kurt Antreich, Peter H. Schneider, 1994, EURO-DAC '94.

Ulf Schlichtmann, Doris Schmitt-Landsiedel, Elisabeth Glocker, 2015, 2015 10th International Symposium on Reconfigurable Communication-centric Systems-on-Chip (ReCoSoC).

Ulf Schlichtmann, 2019, 2019 China Semiconductor Technology International Conference (CSTIC).

Norbert Wehn, Mehdi Baradaran Tahoori, Michael Glaß, 2014, Microelectron. Reliab..

Ulf Schlichtmann, Tsung-Yi Ho, Bing Li, 2015, 2015 52nd ACM/EDAC/IEEE Design Automation Conference (DAC).

Ulf Schlichtmann, Davide Bertozzi, Tsun-Ming Tseng, 2019, ISPD.

Ulf Schlichtmann, Guido Stehr, Helmut E. Graeb, 2005, Proceedings. 42nd Design Automation Conference, 2005..

Cezar Reinbrecht, Ulf Schlichtmann, Martha Johanna Sepúlveda, 2019, 2019 Design, Automation & Test in Europe Conference & Exhibition (DATE).

Walter Schneider, Ning Chen, Ulf Schlichtmann, 2009, 2009 Design, Automation & Test in Europe Conference & Exhibition.

Ulf Schlichtmann, Martin Barke, 2015, ACM J. Emerg. Technol. Comput. Syst..

Ulf Schlichtmann, Daniel Muller-Gritschneder, Munish Jassi, 2015, 2015 International Conference on Synthesis, Modeling, Analysis and Simulation Methods and Applications to Circuit Design (SMACD).

Ulf Schlichtmann, Christoph Knoth, 2012 .

Masanori Hashimoto, Ulf Schlichtmann, Bing Li, 2016, 2016 21st Asia and South Pacific Design Automation Conference (ASP-DAC).

Ning Chen, Ulf Schlichtmann, Bing Li, 2010, 2010 IEEE/ACM International Conference on Computer-Aided Design (ICCAD).

Ulf Schlichtmann, Davide Bertozzi, Helmut Reinig, 2012, CODES+ISSS '12.

Ulf Schlichtmann, Krishnendu Chakrabarty, Tsung-Yi Ho, 2019, Dagstuhl Reports.

Ulf Schlichtmann, Veit B. Kleeberger, Ulf Schlichtmann, 2011, 2011 3rd Asia Symposium on Quality Electronic Design (ASQED).

Xu Liu, Xing Zhou, Ulf Schlichtmann, 2019, 20th International Symposium on Quality Electronic Design (ISQED).

Ulf Schlichtmann, Bing Li, Manuel Schmidt, 2008 .

Yiyu Shi, Ulf Schlichtmann, Bing Li, 2020, ACM Great Lakes Symposium on VLSI.

Ulf Schlichtmann, Bing Li, Tsung-Yi Ho, 2020, 2020 Design, Automation & Test in Europe Conference & Exhibition (DATE).

Bing Li, Joachim Haase, Ulf Schlichtmann, 2012 .

Ulf Schlichtmann, Helmut E. Graeb, Daniel Mueller, 2007, 2007 Design, Automation & Test in Europe Conference & Exhibition.

Ulf Schlichtmann, Dimo Martev, Sven Hampel, 2016, 2016 Second International Conference on Event-based Control, Communication, and Signal Processing (EBCCSP).

Ulf Schlichtmann, Tsung-Yi Ho, Bing Li, 2017, 2017 54th ACM/EDAC/IEEE Design Automation Conference (DAC).

Ulf Schlichtmann, Tsung-Yi Ho, Bing Li, 2019, 2019 Design, Automation & Test in Europe Conference & Exhibition (DATE).

Ulf Schlichtmann, 2004, Euromicro Symposium on Digital System Design, 2004. DSD 2004..

Ulf Schlichtmann, Georg Sigl, Johanna Baehr, 2019, Asia and South Pacific Design Automation Conference.

Ulf Schlichtmann, Subhasish Mitra, Pradip Bose, 2021 .

Ulrich Rührmair, Ulf Schlichtmann, Wayne P. Burleson, 2014, 2014 Design, Automation & Test in Europe Conference & Exhibition (DATE).

Ulf Schlichtmann, Wolfgang Ecker, Alessandro Bernardini, 2016, 2016 IFIP/IEEE International Conference on Very Large Scale Integration (VLSI-SoC).

Ulf Schlichtmann, Subhasish Mitra, Daniel Mueller-Gritschneder, 2018, 2018 Design, Automation & Test in Europe Conference & Exhibition (DATE).

Ulf Schlichtmann, Daniel Mueller-Gritschneder, Kun Lu, 2011, 2011 International Conference on Embedded Computer Systems: Architectures, Modeling and Simulation.

Ulrich Rührmair, Ulf Schlichtmann, Qingqing Chen, 2011, 2011 IEEE International Symposium on Hardware-Oriented Security and Trust.

Ulf Schlichtmann, Tsung-Yi Ho, Paul Pop, 2021, IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems.

Ulf Schlichtmann, Georg Sigl, Johanna Baehr, 2019, 2019 24th Asia and South Pacific Design Automation Conference (ASP-DAC).

Ulf Schlichtmann, Tsung-Yi Ho, Bing Li, 2015, IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems.

Yiyu Shi, Ulf Schlichtmann, Grace Li Zhang, 2017, 2017 30th IEEE International System-on-Chip Conference (SOCC).

Ulf Schlichtmann, David Z. Pan, Bei Yu, 2020, IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems.

Ulf Schlichtmann, Helmut Graeb, Michael Eick, 2011 .

Ulf Schlichtmann, Peter H. Schneider, Siemens Ag, 1996 .

Ian O'Connor, Christoph Heer, Ulf Schlichtmann, 2004, DATE '04.

Masanori Hashimoto, Ulf Schlichtmann, Grace Li Zhang, 2018, Design Automation Conference.

Cher Ming Tan, Ulf Schlichtmann, Hei Wong, 2016, Microelectron. Reliab..

Ulf Schlichtmann, Samarjit Chakraborty, Sebastian Kiesel, 2011, 2011 International Symposium on Integrated Circuits.

Ulf Schlichtmann, Martin Barke, Georg Georgakos, 2010 .

Norbert Wehn, Michael Glaß, Jürgen Teich, 2013 .

Shushanik Karapetyan, Ulf Schlichtmann, Veit Kleeberger, 2016, Microelectron. Reliab..

Ulf Schlichtmann, Daniel Mueller-Gritschneder, Alexandra Listl, 2019, 2019 17th IEEE International New Circuits and Systems Conference (NEWCAS).

Ulf Schlichtmann, Tsung-Yi Ho, Hailong Yao, 2020, IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems.

Ulf Schlichtmann, Daniel Mueller-Gritschneder, Carsten Uphoff, 2013, 2013 IEEE International Systems Conference (SysCon).

Christoph Heer, Ulf Schlichtmann, 2004, Ultra Low-Power Electronics and Design.

Joachim Haase, Ulf Schlichtmann, Uwe Eichler, 2009, 2009 Design, Automation & Test in Europe Conference & Exhibition.

Ulf Schlichtmann, Dimo Martev, Sven Hampel, 2018, IEEE Transactions on Very Large Scale Integration (VLSI) Systems.

Ulf Schlichtmann, Daniel Mueller-Gritschneder, Veit Kleeberger, 2016, 2016 International Conference on Hardware/Software Codesign and System Synthesis (CODES+ISSS).

Ulf Schlichtmann, Daniel Mueller-Gritschneder, Uzair Sharif, 2018, 2018 IEEE 24th International Symposium on On-Line Testing And Robust System Design (IOLTS).

Ulf Schlichtmann, Daniel Mueller-Gritschneder, Helmut Graeb, 2009 .

Ulf Schlichtmann, Peter Spindler, Frank M. Johannes, 2008, ISPD '08.

Kun Lu, Ulf Schlichtmann, Helmut E. Graeb, 2011, IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems.

Ulf Schlichtmann, Krishnendu Chakrabarty, Tsung-Yi Ho, 2018, 2018 International Conference on IC Design & Technology (ICICDT).

Ulf Schlichtmann, Dominik Lorenz, Georg Georgakos, 2009, 2009 15th IEEE International On-Line Testing Symposium.

Ulf Schlichtmann, Bing Li, Ulf Schlichtmann, 2015, IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems.

Ulf Schlichtmann, David Z. Pan, Grace Li Zhang, 2018, 2018 Design, Automation & Test in Europe Conference & Exhibition (DATE).

Ulf Schlichtmann, Carsten Uphoff, Sebastian Kiesel, 2011, PATMOS.

Ulf Schlichtmann, David Z. Pan, Bei Yu, 2020, IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems.

Ulf Schlichtmann, Davide Bertozzi, Tsun-Ming Tseng, 2020, IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems.

Ulf Schlichtmann, Daniel Mueller-Gritschneder, Helmut E. Graeb, 2009, SIAM J. Optim..

Ulf Schlichtmann, Rafael Stahl, Daniel Mueller-Gritschneder, 2020, IEEE Embedded Systems Letters.

Ulf Schlichtmann, Daniel Mueller-Gritschneder, Veit Kleeberger, 2013, 2013 IEEE International Symposium on Defect and Fault Tolerance in VLSI and Nanotechnology Systems (DFTS).

Ulf Schlichtmann, Grace Li Zhang, Bing Li, 2016, 2016 53nd ACM/EDAC/IEEE Design Automation Conference (DAC).

Ulf Schlichtmann, Daniel Mueller-Gritschneder, Fabian Kluge, 2018, 2018 IEEE 24th International Symposium on On-Line Testing And Robust System Design (IOLTS).

Ulf Schlichtmann, Petra Nordholz, Veit Kleeberger, 2009, 2009 IEEE Behavioral Modeling and Simulation Workshop.

Ulf Schlichtmann, Petra Nordholz, Christoph Knoth, 2009, Proceedings of the 2009 12th International Symposium on Integrated Circuits.

Engin Avci, Ulf Schlichtmann, Helmut Graeb, 2009, 2009 European Conference on Circuit Theory and Design.

Ulf Schlichtmann, Daniel Mueller-Gritschneder, Marcel Mettler, 2020, 2020 33rd International Conference on VLSI Design and 2020 19th International Conference on Embedded Systems (VLSID).

Xing Zhou, Cher Ming Tan, Ulf Schlichtmann, 2014, Microelectron. Reliab..

Jie Wu, Yiyu Shi, Ulf Schlichtmann, 2016, 2016 IEEE International Conference on Smart Grid Communications (SmartGridComm).

Andreas Gerstlauer, Ulf Schlichtmann, Zhuoran Zhao, 2019, SAMOS.

Ulf Schlichtmann, Mahdi Nikdast, Mengchu Li, 2019, 2019 IEEE/ACM International Conference on Computer-Aided Design (ICCAD).

Rolf Drechsler, Wolfgang Rosenstiel, Wolfgang Müller, 2014, 2014 51st ACM/EDAC/IEEE Design Automation Conference (DAC).

Ning Chen, Ulf Schlichtmann, Bing Li, 2011, PATMOS.

Ulf Schlichtmann, Ulf Schlichtmann, 2017, 2017 ACM/IEEE International Workshop on System Level Interconnect Prediction (SLIP).

Ulf Schlichtmann, Guido Stehr, Helmut E. Graeb, 2006, 2006 IEEE International Symposium on Circuits and Systems.

Ulf Schlichtmann, Andreas Herkersdorf, Thomas Wild, 2020, ACM Trans. Design Autom. Electr. Syst..

Mohamed Ibrahim, Ulf Schlichtmann, Krishnendu Chakrabarty, 2017, Design, Automation & Test in Europe Conference & Exhibition (DATE), 2017.

Ulf Schlichtmann, Mengchu Li, Tsun-Ming Tseng, 2020, 2020 25th Asia and South Pacific Design Automation Conference (ASP-DAC).

Ulf Schlichtmann, Davide Bertozzi, Luca Ramini, 2016, ACM J. Emerg. Technol. Comput. Syst..

Mohamed Ibrahim, Ulf Schlichtmann, Krishnendu Chakrabarty, 2019, IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems.

Ulf Schlichtmann, Helmut Graeb, 2007 .

Ulf Schlichtmann, Daniel Mueller-Gritschneder, Kun Lu, 2011, 2011 14th Euromicro Conference on Digital System Design.

Ulf Schlichtmann, Wenzhong Guo, Bing Li, 2019, 2019 56th ACM/IEEE Design Automation Conference (DAC).

Ulf Schlichtmann, Daniel Mueller-Gritschneder, Kun Lu, 2013, 2013 18th Asia and South Pacific Design Automation Conference (ASP-DAC).

Robert Wille, Rolf Drechsler, Ulf Schlichtmann, 2016, 2016 IEEE/ACM International Conference on Computer-Aided Design (ICCAD).

Yiyu Shi, Ulf Schlichtmann, Jiang Hu, 2019, IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems.

Ulf Schlichtmann, Helmut E. Graeb, Michael Eick, 2010, ISPD '10.

Ulf Schlichtmann, Grace Li Zhang, Bing Li, 2019, 2019 Design, Automation & Test in Europe Conference & Exhibition (DATE).

Ulf Schlichtmann, Martha Johanna Sepúlveda, Guy Gogniat, 2015, 2015 Ninth International Workshop on Interconnection Network Architectures: On-Chip, Multi-Chip.

Sani R. Nassif, Ulf Schlichtmann, Veit B. Kleeberger, 2012, IRPS 2012.

Ulf Schlichtmann, Ulf Schlichtmann, 2020 .

Ulf Schlichtmann, Dominik Lorenz, Martin Barke, 2014, Microelectron. Reliab..

Ulf Schlichtmann, Grace Li Zhang, Bing Li, 2016, 2016 IEEE/ACM International Conference on Computer-Aided Design (ICCAD).

Ulf Schlichtmann, Tsung-Yi Ho, Bing Li, 2017, 2017 54th ACM/EDAC/IEEE Design Automation Conference (DAC).

Robert Wille, Ulf Schlichtmann, Bing Li, 2020, IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems.

Ulf Schlichtmann, Daniel Mueller-Gritschneder, Yong Hu, 2017, AISTECS@HiPEAC.

Ulf Schlichtmann, Dimo Martev, Sven Hampel, 2017, ACM Great Lakes Symposium on VLSI.

Ulf Schlichtmann, Bing Li, Zuo-Min Tsai, 2017, IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems.

Joachim Haase, Christoph Sohrmann, Ulf Schlichtmann, 2016, IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems.

Ulf Schlichtmann, Helmut E. Graeb, Veit Kleeberger, 2013, 2013 50th ACM/EDAC/IEEE Design Automation Conference (DAC).

Masanori Hashimoto, Ulf Schlichtmann, Bing Li, 2018, IPSJ Trans. Syst. LSI Des. Methodol..

Ulf Schlichtmann, M. Hermann, Kurt J. Antreich, 1993, 1993 European Conference on Design Automation with the European Event in ASIC Design.

Xing Zhou, Cher Ming Tan, Ulf Schlichtmann, 2012, Microelectron. Reliab..

Mohamed Ibrahim, Ulf Schlichtmann, Krishnendu Chakrabarty, 2020, IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems.

Ulf Schlichtmann, Tsun-Ming Tseng, Alexandre Truppel, 2020, 2020 IEEE/ACM International Conference On Computer Aided Design (ICCAD).

Ning Chen, Ulf Schlichtmann, Bing Li, 2012, IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems.

Ulf Schlichtmann, Peter H. Schneider, Bernd Wurth, 1996, IEEE Des. Test Comput..

Ulf Schlichtmann, Helmut Graeb, Martin Strasser, 2009 .

Ulf Schlichtmann, Daniel Mueller-Gritschneder, Helmut E. Graeb, 2007, 2007 18th European Conference on Circuit Theory and Design.

Ulf Schlichtmann, Tsung-Yi Ho, Grace Li Zhang, 2020, 2020 IEEE/ACM International Conference On Computer Aided Design (ICCAD).

Joachim Haase, Christoph Sohrmann, Ulf Schlichtmann, 2014, 2014 Design, Automation & Test in Europe Conference & Exhibition (DATE).

Ulf Schlichtmann, Martin Barke, Samarjit Chakraborty, 2012, 2012 IEEE International Conference on Embedded and Real-Time Computing Systems and Applications.

Ulf Schlichtmann, Bing Li, Shuhang Zhang, 2020, 2020 Design, Automation & Test in Europe Conference & Exhibition (DATE).

Norbert Wehn, Ulf Schlichtmann, Christian Weis, 2015 .

Ulf Schlichtmann, Dominik Lorenz, Georg Georgakos, 2009 .

Ulf Schlichtmann, Wolfgang Ecker, Daniel Mueller-Gritschneder, 2011 .

Ulf Schlichtmann, Daniel Mueller-Gritschneder, Marc Greim, 2013, 2013 Design, Automation & Test in Europe Conference & Exhibition (DATE).

Ulf Schlichtmann, Tsung-Yi Ho, Mengchu Li, 2019, 2019 IEEE/ACM International Conference on Computer-Aided Design (ICCAD).

Ulf Schlichtmann, Helmut Reinig, Daniel Mueller-Gritschneder, 2013, 2013 Design, Automation & Test in Europe Conference & Exhibition (DATE).

Ulf Schlichtmann, Grace Li Zhang, Bing Li, 2016, 2016 Design, Automation & Test in Europe Conference & Exhibition (DATE).

Ulf Schlichtmann, 2002, Proceedings Euromicro Symposium on Digital System Design. Architectures, Methods and Tools.

Marco Platzner, Rüdiger Kapitza, Norbert Wehn, 2011, 2011 Proceedings of the Ninth IEEE/ACM/IFIP International Conference on Hardware/Software Codesign and System Synthesis (CODES+ISSS).

Ulf Schlichtmann, Martin Barke, Doris Schmitt-Landsiedel, 2013 .

Sani R. Nassif, Ulf Schlichtmann, Daniel Mueller-Gritschneder, 2019, 2019 Design, Automation & Test in Europe Conference & Exhibition (DATE).

Norbert Wehn, Mehdi Baradaran Tahoori, Michael Glaß, 2015, it Inf. Technol..

Ulf Schlichtmann, Tobias Massier, Helmut E. Graeb, 2008, IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems.

Ulf Schlichtmann, Bing Li, Shigeru Yamashita, 2020, 2020 Design, Automation & Test in Europe Conference & Exhibition (DATE).

Ulf Schlichtmann, Helmut Graeb, D. Mueller, 2007, ECCTD.

Twan Basten, Ulf Schlichtmann, Samarjit Chakraborty, 2014, 2014 International Symposium on Integrated Circuits (ISIC).

Ulf Schlichtmann, 2016, 2016 China Semiconductor Technology International Conference (CSTIC).

Ulf Schlichtmann, Daniel Mueller-Gritschneder, Kun Lu, 2013, 2013 Design, Automation & Test in Europe Conference & Exhibition (DATE).

Ulrich Rührmair, Ulf Schlichtmann, Uzair Sharif, 2015, TRUST.

Ulf Schlichtmann, Doris Schmitt-Landsiedel, Elisabeth Glocker, 2017, Microprocess. Microsystems.

Ulf Schlichtmann, Helmut Graeb, Martin Strasser, 2010, DATE 2010.

Ulf Schlichtmann, Bing Li, Shao-Yun Fang, 2018, 2018 55th ACM/ESDA/IEEE Design Automation Conference (DAC).

Ulf Schlichtmann, Helmut E. Graeb, Frank M. Johannes, 2008, 2008 IEEE/ACM International Conference on Computer-Aided Design.

Ulf Schlichtmann, 2018, 2018 China Semiconductor Technology International Conference (CSTIC).

Ulf Schlichtmann, Guido Stehr, Helmut E. Graeb, 2005, GI Jahrestagung.

Ulf Schlichtmann, Daniel Mueller-Gritschneder, Rafael Stahl, 2018, 2018 Forum on Specification & Design Languages (FDL).

Ulf Schlichtmann, Tsung-Yi Ho, Bing Li, 2018, IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems.

Masanori Hashimoto, Ulf Schlichtmann, Grace Li Zhang, 2018, 2018 55th ACM/ESDA/IEEE Design Automation Conference (DAC).

Ulf Schlichtmann, Tobias Massier, Helmut E. Graeb, 2008, 2008 Design, Automation and Test in Europe.

Ulf Schlichtmann, Tobias Massier, Michael Pehl, 2008, 2008 IEEE International Conference on Computer Design.

Norbert Wehn, Michael Glaß, Sani R. Nassif, 2014, 2014 Design, Automation & Test in Europe Conference & Exhibition (DATE).

Yici Cai, Ulf Schlichtmann, Tsung-Yi Ho, 2017, 2017 22nd Asia and South Pacific Design Automation Conference (ASP-DAC).

Yici Cai, Ulf Schlichtmann, Tsung-Yi Ho, 2016, 2016 IEEE/ACM International Conference on Computer-Aided Design (ICCAD).

Ulf Schlichtmann, Bing Li, Chunfeng Liu, 2019, 2019 Design, Automation & Test in Europe Conference & Exhibition (DATE).

Ning Chen, Ulf Schlichtmann, Bing Li, 2012, IET Circuits Devices Syst..

Ulf Schlichtmann, Jun Zou, Helmut E. Graeb, 2007, 2007 IEEE Custom Integrated Circuits Conference.

Ulf Schlichtmann, Helmut Reinig, Daniel Mueller-Gritschneder, 2014, IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems.

Ulrich Rührmair, Ulf Schlichtmann, Paolo Lugli, 2012, 2012 Design, Automation & Test in Europe Conference & Exhibition (DATE).

Ulf Schlichtmann, Tsung-Yi Ho, Tsun-Ming Tseng, 2015, IEEE Design & Test.

Ning Chen, Ulf Schlichtmann, Bing Li, 2009, 2009 16th IEEE International Conference on Electronics, Circuits and Systems - (ICECS 2009).

Ulf Schlichtmann, Magdalena Dorfner, Veit B. Kleeberger, 2014 .

Ulf Schlichtmann, Jun Zou, Helmut E. Graeb, 2006, 2006 43rd ACM/IEEE Design Automation Conference.

Ulf Schlichtmann, Felix Last, Ulf Schlichtmann, 2020, 2020 ACM/IEEE 2nd Workshop on Machine Learning for CAD (MLCAD).

Ulf Schlichtmann, Davide Bertozzi, Luca Ramini, 2013, 2013 IEEE/ACM International Conference on Computer-Aided Design (ICCAD).

Ulrich Rührmair, Ulf Schlichtmann, Qingqing Chen, 2011, J. Circuits Syst. Comput..

Ulf Schlichtmann, Bing Li, Zuo-Min Tsai, 2016, 2016 53nd ACM/EDAC/IEEE Design Automation Conference (DAC).

Ulf Schlichtmann, Dominik Lorenz, Martin Barke, 2010, 2010 IEEE/ACM International Conference on Computer-Aided Design (ICCAD).

Ulf Schlichtmann, Frank Johannes, Martin Strasser, 2008 .

Ulf Schlichtmann, Grace Li Zhang, Bing Li, 2020, 2020 2nd IEEE International Conference on Artificial Intelligence Circuits and Systems (AICAS).

Ulf Schlichtmann, Daniel Mueller-Gritschneder, Veit Kleeberger, 2018, ACM Trans. Embed. Comput. Syst..

Ulf Schlichtmann, Tsung-Yi Ho, Bing Li, 2016, IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems.

Ulf Schlichtmann, Daniel Mueller-Gritschneder, Kun Lu, 2012, 2012 Design, Automation & Test in Europe Conference & Exhibition (DATE).

Mohamed Ibrahim, Ulf Schlichtmann, Krishnendu Chakrabarty, 2018, 2018 Design, Automation & Test in Europe Conference & Exhibition (DATE).

Robert Wille, Ying Zhu, Ulf Schlichtmann, 2018, 2018 IEEE/ACM International Conference on Computer-Aided Design (ICCAD).

Ulf Schlichtmann, Sheqin Dong, Bing Li, 2018, 2018 IEEE International Symposium on Circuits and Systems (ISCAS).

Ulf Schlichtmann, Daniel Mueller-Gritschneder, Marc Greim, 2014, 2014 International Symposium on Integrated Circuits (ISIC).

Walter Schneider, Ulf Schlichtmann, Bing Li, 2008, PATMOS.

Ulf Schlichtmann, Dimo Martev, Sven Hampel, 2017, 2017 IEEE International Symposium on Circuits and Systems (ISCAS).

Mohamed Ibrahim, Ulf Schlichtmann, Krishnendu Chakrabarty, 2019, IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems.

Ulf Schlichtmann, Tsung-Yi Ho, Bing Li, 2013, 2013 IEEE/ACM International Conference on Computer-Aided Design (ICCAD).

Ulf Schlichtmann, Tsung-Yi Ho, Bing Li, 2016, 2016 53nd ACM/EDAC/IEEE Design Automation Conference (DAC).

Ulf Schlichtmann, Daniel Mueller-Gritschneder, Uzair Sharif, 2018, 2018 IEEE/ACM International Conference on Computer-Aided Design (ICCAD).

Robert Wille, Rolf Drechsler, Ulf Schlichtmann, 2018, 2018 Forum on Specification & Design Languages (FDL).

Ulf Schlichtmann, Andreas Herkersdorf, Daniel Mueller-Gritschneder, 2014, DATE 2014.

Ulf Schlichtmann, Jun Zou, Helmut E. Graeb, 2007, 8th International Symposium on Quality Electronic Design (ISQED'07).

Ulf Schlichtmann, Wenzhong Guo, Xing Huang, 2019, 2019 Design, Automation & Test in Europe Conference & Exhibition (DATE).

Jürgen Schmidhuber, Ulrich Rührmair, Ulf Schlichtmann, 2009, IACR Cryptol. ePrint Arch..

Ulf Schlichtmann, Krishnendu Chakrabarty, Tsung-Yi Ho, 2018, 2018 55th ACM/ESDA/IEEE Design Automation Conference (DAC).

Ulf Schlichtmann, Wolfgang Ecker, Alessandro Bernardini, 2016, 2016 IEEE/ACM International Conference on Computer-Aided Design (ICCAD).

Ulf Schlichtmann, Martin Barke Christoph Werner Doris Schmitt-Landsiedel Veit B. Kleeberger, 2012 .

Ulf Schlichtmann, B. Wurth, M. Hermann, 1995 .

Ulf Schlichtmann, Tsung-Yi Ho, Mengchu Li, 2018, 2018 55th ACM/ESDA/IEEE Design Automation Conference (DAC).

Ulf Schlichtmann, Helmut Reinig, Daniel Mueller-Gritschneder, 2012, 2012 Design, Automation & Test in Europe Conference & Exhibition (DATE).

Ulf Schlichtmann, Bernhard Wolfrum, Mengchu Li, 2019, Flexible and Printed Electronics.

Ulf Schlichtmann, Veit Kleeberger, Petra R. Maier, 2014, 2014 51st ACM/EDAC/IEEE Design Automation Conference (DAC).

Jürgen Koehl, Ulf Schlichtmann, Andreas Ripp, 2006, Proceedings of the Design Automation & Test in Europe Conference.

Ulf Schlichtmann, Daniel Mueller-Gritschneder, Yong Hu, 2018, ACM Trans. Design Autom. Electr. Syst..

Ulf Schlichtmann, Grace Li Zhang, Bing Li, 2018, 2018 IEEE Computer Society Annual Symposium on VLSI (ISVLSI).

Ulf Schlichtmann, Daniel Mueller-Gritschneder, Yong Hu, 2018, 2018 IEEE/ACM International Conference on Computer-Aided Design (ICCAD).

Ulf Schlichtmann, Ulrike Pfannkuchen, Daniel Tille, 2018, 2018 23rd Asia and South Pacific Design Automation Conference (ASP-DAC).

Walter Schneider, Ulf Schlichtmann, Bing Li, 2008, PATMOS.

Dominik Stoffel, Wolfgang Kunz, Ulf Schlichtmann, 2019, 2019 56th ACM/IEEE Design Automation Conference (DAC).

Ulf Schlichtmann, Mark Po-Hung Lin, Ing-Chao Lin, 2019, 2019 IEEE/ACM International Conference on Computer-Aided Design (ICCAD).

Ulf Schlichtmann, Keerthikumara Devarajegowda, Wolfgang Ecker, 2017, 2017 International Symposium on Rapid System Prototyping (RSP).

Ning Chen, Ulf Schlichtmann, Bing Li, 2009, 2009 IEEE/ACM International Conference on Computer-Aided Design - Digest of Technical Papers.

Ulf Schlichtmann, Daniel Mueller-Gritschneder, Munish Jassi, 2015, 2015 52nd ACM/EDAC/IEEE Design Automation Conference (DAC).

Ulf Schlichtmann, Hela Jedda, Christoph Knoth, 2012, 2012 Design, Automation & Test in Europe Conference & Exhibition (DATE).

Ulf Schlichtmann, Daniel Mueller-Gritschneder, Uzair Sharif, 2016, 2016 International Great Lakes Symposium on VLSI (GLSVLSI).

Ulf Schlichtmann, Daniel Mueller-Gritschneder, Uzair Sharif, 2020, 2020 25th Asia and South Pacific Design Automation Conference (ASP-DAC).

Ulf Schlichtmann, Davide Bertozzi, Luca Ramini, 2014 .

Ulf Schlichtmann, Mark Po-Hung Lin, Tsung-Wei Huang, 2020, 2020 IEEE/ACM International Conference On Computer Aided Design (ICCAD).

Ulf Schlichtmann, Krishnendu Chakrabarty, Tsung-Yi Ho, 2018, DAC.

Ulf Schlichtmann, Franc Brglez, Michael Hermann, 1992, [1992] Proceedings 29th ACM/IEEE Design Automation Conference.

Ulf Schlichtmann, Krishnendu Chakrabarty, Yasamin Moradi, 2018, 2018 IEEE 23rd European Test Symposium (ETS).

Heba Khdr, Ulf Schlichtmann, Doris Schmitt-Landsiedel, 2016, it Inf. Technol..

Bing Li, Ulf Schlichtmann, 2012 .

Domenik Helms, Ulf Schlichtmann, 2006 .

Ulf Schlichtmann, Daniel Mueller-Gritschneder, Kun Lu, 2012, 2012 International Symposium on System on Chip (SoC).

Ulf Schlichtmann, Ulf Schlichtmann, 2015, ISPD.

Ulf Schlichtmann, Krishnendu Chakrabarty, Tsung-Yi Ho, 2017, Design, Automation & Test in Europe Conference & Exhibition (DATE), 2017.

Ulf Schlichtmann, Bing Li, Alessandro Bernardini, 2018, Microelectron. J..

Ulf Schlichtmann, Krishnendu Chakrabarty, Tsung-Yi Ho, 2020, IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems.

Ulf Schlichtmann, Georg Sigl, 1991, Proceedings of the European Conference on Design Automation..

Ulf Schlichtmann, 2002, Proceedings International Symposium on Quality Electronic Design.

Yiyu Shi, Ulf Schlichtmann, Jianlei Yang, 2017, 2017 30th IEEE International System-on-Chip Conference (SOCC).

Ulf Schlichtmann, Grace Li Zhang, Cheng Zhuo, 2021, 2021 58th ACM/IEEE Design Automation Conference (DAC).

Andreas Gerstlauer, Ulf Schlichtmann, Rafael Stahl, 2021, International Journal of Parallel Programming.

Ulf Schlichtmann, Tsun-Ming Tseng, Xiao Moyuan, 2021, 2021 Design, Automation & Test in Europe Conference & Exhibition (DATE).

Ulf Schlichtmann, Daniel Mueller-Gritschneder, Marcel Mettler, 2021, ACM Trans. Archit. Code Optim..

Ulf Schlichtmann, Tsung-Yi Ho, Mengchu Li, 2021, 2021 IEEE/ACM International Conference On Computer Aided Design (ICCAD).

Ulf Schlichtmann, Daniel Mueller-Gritschneder, Uzair Sharif, 2021, ACM Trans. Embed. Comput. Syst..

Ulf Schlichtmann, Grace Li Zhang, Bing Li, 2021, IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems.

Ulf Schlichtmann, Tsung-Yi Ho, Grace Li Zhang, 2021, 2021 Design, Automation & Test in Europe Conference & Exhibition (DATE).

Huaxi Gu, Ulf Schlichtmann, Grace Li Zhang, 2021, 2021 Design, Automation & Test in Europe Conference & Exhibition (DATE).

Ulf Schlichtmann, Krishnendu Chakrabarty, Wenzhong Guo, 2021, ACM Comput. Surv..

Ulf Schlichtmann, Mengchu Li, Tsun-Ming Tseng, 2021, 2021 26th Asia and South Pacific Design Automation Conference (ASP-DAC).

Ulf Schlichtmann, Shuhang Zhang, Hai Helen Li, 2021, 2021 26th Asia and South Pacific Design Automation Conference (ASP-DAC).

Ulf Schlichtmann, Bernhard Wolfrum, Mengchu Li, 2021, 2021 IEEE/ACM International Conference On Computer Aided Design (ICCAD).

Yiyu Shi, Huaxi Gu, Ulf Schlichtmann, 2021, 2021 26th Asia and South Pacific Design Automation Conference (ASP-DAC).

Ulf Schlichtmann, Yong Zhong, Yi-Hao Cheng, 2021, 2021 22nd International Symposium on Quality Electronic Design (ISQED).

Ulf Schlichtmann, Dominik Lorenz, Martin Barke, 2010 .