Dimitris Theodoropoulos

发表

Georgi Gaydadjiev, Georgi Kuzmanov, Dimitris Theodoropoulos, 2010, FPGA '10.

Nektarios Kranitis, Dimitris Theodoropoulos, Antonios Paschalis, 2016, 2016 IEEE 22nd International Symposium on On-Line Testing and Robust System Design (IOLTS).

Kostas Katrinis, Dionisios N. Pnevmatikatos, Georgios Zervas, 2017, 2017 International Conference on Embedded Computer Systems: Architectures, Modeling, and Simulation (SAMOS).

Eduard Ayguadé, Dionisios N. Pnevmatikatos, Carlos Segura, 2015, 2015 International Conference on Embedded Computer Systems: Architectures, Modeling, and Simulation (SAMOS).

Dionisios N. Pnevmatikatos, Polyvios Pratikakis, Dimitris Theodoropoulos, 2013, 2013 International Conference on Embedded Computer Systems: Architectures, Modeling, and Simulation (SAMOS).

Kostas Katrinis, Dionisios N. Pnevmatikatos, Georgios Zervas, 2016, 2016 Design, Automation & Test in Europe Conference & Exhibition (DATE).

Georgi Gaydadjiev, Georgi Kuzmanov, Dimitris Theodoropoulos, 2010, 2010 International Conference on Reconfigurable Computing and FPGAs.

Dhiraj K. Pradhan, Babak Falsafi, Christos-Savvas Bouganis, 2013, Microprocess. Microsystems.

Nehir Sönmez, Mario Nemirovsky, Dimitris Theodoropoulos, 2019, RSP '19.

Koen Bertels, Carlo Galuzzi, Dimitris Theodoropoulos, 2009, 2009 Design, Automation & Test in Europe Conference & Exhibition.

Dionisios N. Pnevmatikatos, Nikolaos Alachiotis, Dimitris Theodoropoulos, 2017, 2017 IEEE 25th Annual International Symposium on Field-Programmable Custom Computing Machines (FCCM).

Koen Bertels, Carlo Galuzzi, Dimitris Theodoropoulos, 2008, 2008 International Conference on Reconfigurable Computing and FPGAs.

Nektarios Kranitis, Dimitris Theodoropoulos, Antonios Paschalis, 2020, IEEE Transactions on Very Large Scale Integration (VLSI) Systems.

Eduard Ayguadé, Dionisios N. Pnevmatikatos, Carlos Segura, 2015, DSD.

Catalin Bogdan Ciobanu, Georgi Kuzmanov, Dimitris Theodoropoulos, 2009, CF '09.

Georgi Gaydadjiev, Georgi Kuzmanov, Dimitris Theodoropoulos, 2009, 2009 IEEE International Symposium on Parallel & Distributed Processing.

Eduard Ayguadé, Paolo Gai, Francesco Montefoschi, 2017, Microprocess. Microsystems.

Dionisios N. Pnevmatikatos, Nikolaos S. Alachiotis, Andrea Reale, 2018, 2018 IEEE 29th International Conference on Application-specific Systems, Architectures and Processors (ASAP).

Nektarios Kranitis, Dimitris Theodoropoulos, Antonios Paschalis, 2020, 2020 IEEE International Symposium on Defect and Fault Tolerance in VLSI and Nanotechnology Systems (DFT).

Dhiraj K. Pradhan, Christos Strydis, Ioannis Sourdis, 2013 .

Georgi Gaydadjiev, Georgi Kuzmanov, Dimitris Theodoropoulos, 2010, 2010 International Conference on Field-Programmable Technology.

Georgi Gaydadjiev, Georgi Kuzmanov, Dimitris Theodoropoulos, 2013, TECS.

Dionisios N. Pnevmatikatos, Dimitris Theodoropoulos, Alexandros Siskos, 2009, ARC.

Georgi Gaydadjiev, Georgi Kuzmanov, Dimitris Theodoropoulos, 2009, 2009 IEEE 7th Symposium on Application Specific Processors.

Koen Bertels, Carlo Galuzzi, Dimitris Theodoropoulos, 2008, 2008 International Conference on Embedded Computer Systems: Architectures, Modeling, and Simulation.

Dionisios N. Pnevmatikatos, Nikolaos Alachiotis, Dimitris Theodoropoulos, 2017, 2017 27th International Conference on Field Programmable Logic and Applications (FPL).

Kostas Katrinis, Dionisios N. Pnevmatikatos, Georgios Zervas, 2016, 2016 European Conference on Networks and Communications (EuCNC).

Kostas Katrinis, Hui Yuan, Thanasis Korakis, 2018, Hardware Accelerators in Data Centers.

Tetsuya Hayashi, Hui Yuan, Andrea Reale, 2019, Journal of Lightwave Technology.

Paolo Gai, Xavier Martorell Bofill, Roberto Giorgi, 2016 .

Eduard Ayguadé, Dionisios N. Pnevmatikatos, Paolo Gai, 2015, 2015 Euromicro Conference on Digital System Design.

Dionisios N. Pnevmatikatos, Georgios Smaragdos, Christos Strydis, 2016, 2016 16th IEEE/ACM International Symposium on Cluster, Cloud and Grid Computing (CCGrid).

Georgi Gaydadjiev, Georgi Kuzmanov, Dimitris Theodoropoulos, 2011, IEEE Transactions on Multimedia.

Kostas Katrinis, Óscar González de Dios, Georgios Zervas, 2018, 2018 Design, Automation & Test in Europe Conference & Exhibition (DATE).

Georgi Gaydadjiev, Koen Bertels, Dimitris Theodoropoulos, 2010 .

Kostas Katrinis, Georgios Zervas, Dionisios N. Pnevmatikatos, 2018, 2018 Optical Fiber Communications Conference and Exposition (OFC).

Ioannis Sourdis, Georgi Gaydadjiev, Venkatasubramanian Viswanathan, 2011, 2011 International Conference on Field-Programmable Technology.

Dhiraj K. Pradhan, Babak Falsafi, Christos-Savvas Bouganis, 2014, ARC.

Eduard Ayguadé, Dionisios N. Pnevmatikatos, Francesco Montefoschi, 2016, 2016 Euromicro Conference on Digital System Design (DSD).

Dimitris Theodoropoulos, Antonios Paschalis, Antonis Tsigkanos, 2020, IEEE Transactions on Very Large Scale Integration (VLSI) Systems.

Thanasis Korakis, Nikolaos Alachiotis, Dimitris Theodoropoulos, 2018, 2018 International Conference on Field-Programmable Technology (FPT).

Dionisios N. Pnevmatikatos, Nikolaos Alachiotis, Dimitris Theodoropoulos, 2017, 2017 International Conference on Embedded Computer Systems: Architectures, Modeling, and Simulation (SAMOS).

Georgi Gaydadjiev, Koen Bertels, Dimitris Theodoropoulos, 2010, 2010 International Conference on Field-Programmable Technology.

Georgi Gaydadjiev, Georgi Kuzmanov, Dimitris Theodoropoulos, 2011, ARC.

Dimitrios I. Fotiadis, Kostas Marias, Georgios C. Manikis, 2021, Comput. Biol. Medicine.