Sachin S. Sapatnekar
发表
Pingqiang Zhou,
Sachin S. Sapatnekar,
S. Sapatnekar,
2015
.
Sung-Mo Kang,
Sachin S. Sapatnekar,
Pravin M. Vaidya,
1993,
1993 IEEE International Symposium on Circuits and Systems.
Sachin S. Sapatnekar,
Ramesh Harjani,
Tonmoy Dhar,
2020,
2020 IEEE/ACM International Conference On Computer Aided Design (ICCAD).
Sachin S. Sapatnekar,
John Keane,
Chris H. Kim,
2012,
IEEE Design & Test of Computers.
Sachin S. Sapatnekar,
Haitian Hu,
2001,
Proceedings of the IEEE 2001 Custom Integrated Circuits Conference (Cat. No.01CH37169).
Sachin S. Sapatnekar,
Suresh Raman,
Charles J. Alpert,
2002,
Integr..
Sachin S. Sapatnekar,
Tianpei Zhang,
S. Sapatnekar,
2007,
IEEE International Conference on Computer Design: VLSI in Computers and Processors, 2004. ICCD 2004. Proceedings..
Weitong Chuang,
Sachin S. Sapatnekar,
1995,
ICCAD.
Sachin S. Sapatnekar,
Vivek Mishra,
S. Sapatnekar,
2016,
2016 53nd ACM/EDAC/IEEE Design Automation Conference (DAC).
Sachin S. Sapatnekar,
Naresh Maheshwari,
1997,
1997 Proceedings of IEEE International Conference on Computer Aided Design (ICCAD).
Antonia Zhai,
Sachin S. Sapatnekar,
T. Kolpe,
2010,
2011 Design, Automation & Test in Europe.
Keshab K. Parhi,
Sachin S. Sapatnekar,
Martin Kuhlmann,
1999
.
Sachin S. Sapatnekar,
Gracieli Posser,
Ricardo Reis,
2016,
IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems.
Sachin S. Sapatnekar,
David J. Lilja,
D. Lilja,
2004
.
Sachin S. Sapatnekar,
Jaskirat Singh,
S. Sapatnekar,
2008,
IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems.
Sachin S. Sapatnekar,
Yong Zhan,
Sanjay V. Kumar,
2008,
Found. Trends Electron. Des. Autom..
Sachin S. Sapatnekar,
Jordi Cortadella,
Michael Kishinevsky,
2015,
Proceedings of the IEEE.
Sachin S. Sapatnekar,
Keith A. Bowman,
Michael Orshansky,
2006,
7th International Symposium on Quality Electronic Design (ISQED'06).
Sachin S. Sapatnekar,
Naresh Maheshwari,
1998
.
Sachin S. Sapatnekar,
Jiang Hu,
Farhana Sharmin Snigdha,
2019,
IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems.
Sachin S. Sapatnekar,
John P. Fishburn,
Harsha Sathyamurthy,
1995,
Proceedings of IEEE International Conference on Computer Aided Design (ICCAD).
Sachin S. Sapatnekar,
Alok Jain,
2013,
VLSID 2013.
Sachin S. Sapatnekar,
David J. Lilja,
Qianqian Fan,
2017,
2017 18th International Symposium on Quality Electronic Design (ISQED).
Sachin S. Sapatnekar,
Naresh Maheshwari,
1998,
IEEE Trans. Very Large Scale Integr. Syst..
Sachin S. Sapatnekar,
Sravan K. Marella,
S. Sapatnekar,
2013,
2013 IEEE/ACM International Conference on Computer-Aided Design (ICCAD).
Dennis Sylvester,
Sachin S. Sapatnekar,
Anup Kumar Sultania,
2005
.
Sachin S. Sapatnekar,
D. Lehther,
1998
.
Sachin S. Sapatnekar,
Ulya R. Karpuzcu,
Meisam Razaviyayn,
2020,
IEEE Journal on Exploratory Solid-State Computational Devices and Circuits.
Sachin S. Sapatnekar,
Jaskirat Singh,
2005,
IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems.
Sachin S. Sapatnekar,
Pravin M. Vaidya,
Vasant B. Rao,
1991,
1991 IEEE International Conference on Computer-Aided Design Digest of Technical Papers.
Sachin S. Sapatnekar,
2006,
IEEE Design & Test of Computers.
Sachin S. Sapatnekar,
Brucek Khailany,
Ben Keller,
2020,
ArXiv.
Jie Gu,
Sachin S. Sapatnekar,
John Keane,
2008,
IEEE Transactions on Very Large Scale Integration (VLSI) Systems.
Sachin S. Sapatnekar,
Charles J. Alpert,
Andrew B. Kahng,
2002
.
Sachin S. Sapatnekar,
Zhengyang Zhao,
Ulya R. Karpuzcu,
2019,
ArXiv.
Sachin S. Sapatnekar,
Naresh Maheshwari,
1996,
Proceedings International Conference on Computer Design. VLSI in Computers and Processors.
Rajendran Panda,
Min Zhao,
Sachin S. Sapatnekar,
2002,
ICCAD 2002.
Sachin S. Sapatnekar,
Jianxin Fang,
2010,
2010 11th International Symposium on Quality Electronic Design (ISQED).
Sachin S. Sapatnekar,
Ulya R. Karpuzcu,
Thomas J. Peterson,
2020,
ACM Trans. Archit. Code Optim..
Sachin S. Sapatnekar,
Jianxin Fang,
2011,
16th Asia and South Pacific Design Automation Conference (ASP-DAC 2011).
Sachin S. Sapatnekar,
Haifeng Qian,
S. Sapatnekar,
2008,
SIAM J. Sci. Comput..
Pingqiang Zhou,
Sachin S. Sapatnekar,
Chris H. Kim,
2014,
IEEE Transactions on Very Large Scale Integration (VLSI) Systems.
Sachin S. Sapatnekar,
Chris H. Kim,
Sanjay V. Kumar,
2006,
2006 IEEE/ACM International Conference on Computer Aided Design.
Sani R. Nassif,
Sachin S. Sapatnekar,
Haifeng Qian,
2004,
IEEE/ACM International Conference on Computer Aided Design, 2004. ICCAD-2004..
Sachin S. Sapatnekar,
Chandramouli V. Kashyap,
Sanjay V. Kumar,
2008,
2008 45th ACM/IEEE Design Automation Conference.
Sachin S. Sapatnekar,
Sachin S. Sapatnekar,
2010,
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst..
Sachin S. Sapatnekar,
Vivek Mishra,
2013,
2013 50th ACM/EDAC/IEEE Design Automation Conference (DAC).
Sachin S. Sapatnekar,
David J. Lilja,
D. Lilja,
2004
.
Weitong Chuang,
Ibrahim N. Hajj,
Sachin S. Sapatnekar,
1993,
Proceedings of 1993 International Conference on Computer Aided Design (ICCAD).
Sachin S. Sapatnekar,
Juho Kim,
Yanbin Jiang,
1998,
Proceedings of the IEEE 1998 Custom Integrated Circuits Conference (Cat. No.98CH36143).
Shiyan Hu,
Sachin S. Sapatnekar,
Charles J. Alpert,
2013,
2013 Design, Automation & Test in Europe Conference & Exhibition (DATE).
Sachin S. Sapatnekar,
Charles J. Alpert,
S. Raje,
2001,
ICCAD 2001.
Keshab K. Parhi,
Sachin S. Sapatnekar,
Renfei Liu,
2010
.
Sachin S. Sapatnekar,
Jiang Hu,
S. Sapatnekar,
2002,
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst..
Sachin S. Sapatnekar,
2008,
IPSJ Trans. Syst. LSI Des. Methodol..
Dennis Sylvester,
Sachin S. Sapatnekar,
Anup Kumar Sultania,
2005,
IEEE Transactions on Very Large Scale Integration (VLSI) Systems.
Sachin S. Sapatnekar,
Tianpei Zhang,
S. Sapatnekar,
2002,
SLIP '02.
Sachin S. Sapatnekar,
John Keane,
Chris H. Kim,
2008,
IEEE Transactions on Very Large Scale Integration (VLSI) Systems.
Sachin S. Sapatnekar,
Baktash Boghrati,
2012,
17th Asia and South Pacific Design Automation Conference.
Sachin S. Sapatnekar,
Jiang Hu,
S. Sapatnekar,
2001
.
Lin Huang,
Sachin S. Sapatnekar,
Jiang Hu,
2018,
RTNS.
Sachin S. Sapatnekar,
Pravin M. Vaidya,
Steve M. Kang,
1993,
Proceedings of 1993 International Conference on Computer Aided Design (ICCAD).
Sachin S. Sapatnekar,
Farhana Sharmin Snigdha,
Susmita Dey Manasi,
2019,
IEEE Transactions on Very Large Scale Integration (VLSI) Systems.
Sachin S. Sapatnekar,
Haifeng Qian,
Jeng-Liang Tsai,
2006
.
Sachin S. Sapatnekar,
Andrew B. Kahng,
Uday Mallappa,
2020,
2020 25th Asia and South Pacific Design Automation Conference (ASP-DAC).
Sachin S. Sapatnekar,
Jiang Hu,
S. Sapatnekar,
2000,
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst..
Sachin S. Sapatnekar,
Vidyasagar Nookala,
S. Sapatnekar,
2004,
Proceedings. 41st Design Automation Conference, 2004..
Sachin S. Sapatnekar,
Jiang Hu,
Deepashree Sengupta,
2017
.
Antonia Zhai,
Pingqiang Zhou,
Sachin S. Sapatnekar,
2012,
ISLPED '12.
Rajendran Panda,
David Blaauw,
Min Zhao,
2003,
Proceedings of the 2003 International Symposium on Circuits and Systems, 2003. ISCAS '03..
Sachin S. Sapatnekar,
Chris H. Kim,
Sanjay V. Kumar,
2009,
2009 Asia and South Pacific Design Automation Conference.
Sachin S. Sapatnekar,
Jaskirat Singh,
S. Sapatnekar,
2006,
IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems.
Sachin S. Sapatnekar,
Jiang Hu,
Deepashree Sengupta,
2016,
2016 53nd ACM/EDAC/IEEE Design Automation Conference (DAC).
Sachin S. Sapatnekar,
Vivek Mishra,
Palkesh Jain,
2017,
IEEE Transactions on Very Large Scale Integration (VLSI) Systems.
Sachin S. Sapatnekar,
Jordi Cortadella,
Michael Kishinevsky,
2007,
2007 IEEE/ACM International Conference on Computer-Aided Design.
Sachin S. Sapatnekar,
Tengtao Li,
S. Sapatnekar,
2019,
ACM Trans. Design Autom. Electr. Syst..
Sachin S. Sapatnekar,
Ramesh Harjani,
Yong Zhan,
2004,
Proceedings of the IEEE 2004 Custom Integrated Circuits Conference (IEEE Cat. No.04CH37571).
Sachin S. Sapatnekar,
S. Sapatnekar,
2016,
Encyclopedia of Algorithms.
Yao-Wen Chang,
Chia-Lin Yang,
Sachin S. Sapatnekar,
2008,
2008 45th ACM/IEEE Design Automation Conference.
Sachin S. Sapatnekar,
Jiang Hu,
S. Sapatnekar,
1999,
ISPD '99.
Andrew B. Kahng,
Mateus Fogaça,
Sachin S. Sapatnekar,
2019,
2019 56th ACM/IEEE Design Automation Conference (DAC).
Sachin S. Sapatnekar,
Jiang Hu,
Chaofan Li,
2016,
2016 IEEE/ACM International Conference on Computer-Aided Design (ICCAD).
Sachin S. Sapatnekar,
Tonmoy Dhar,
2019,
2019 IEEE International Reliability Physics Symposium (IRPS).
Sachin S. Sapatnekar,
Prashant Saxena,
Rupesh S. Shelar,
2006,
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst..
Kia Bazargan,
Sachin S. Sapatnekar,
Haifeng Qian,
2009,
IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems.
Sachin S. Sapatnekar,
Qunzeng Liu,
2009,
IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems.
Sachin S. Sapatnekar,
Vidyasagar Nookala,
S. Sapatnekar,
2005,
2005 IEEE International Symposium on Circuits and Systems.
Sachin S. Sapatnekar,
S. Sapatnekar,
2010,
DAC.
Sachin S. Sapatnekar,
Ramesh Harjani,
Yaguang Li,
2020,
2020 IEEE/ACM International Conference On Computer Aided Design (ICCAD).
Sachin S. Sapatnekar,
Haifeng Qian,
2005,
ICCAD-2005. IEEE/ACM International Conference on Computer-Aided Design, 2005..
Sachin S. Sapatnekar,
Deepashree Sengupta,
2014,
2014 IEEE/ACM International Conference on Computer-Aided Design (ICCAD).
Sachin S. Sapatnekar,
Haifeng Qian,
2004,
ASP-DAC 2004: Asia and South Pacific Design Automation Conference 2004 (IEEE Cat. No.04EX753).
Sachin S. Sapatnekar,
2010,
ISPD '10.
Sachin S. Sapatnekar,
Shrirang K. Karandikar,
S. Sapatnekar,
2001,
Proceedings of the 38th Design Automation Conference (IEEE Cat. No.01CH37232).
Sachin S. Sapatnekar,
Yong Zhan,
Brent Goplen,
2006,
Asia and South Pacific Conference on Design Automation, 2006..
Sachin S. Sapatnekar,
S. Sapatnekar,
2000,
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst..
Sachin S. Sapatnekar,
Naresh Maheshwari,
1999
.
Sachin S. Sapatnekar,
Vidyasagar Nookala,
S. Sapatnekar,
2004,
DAC 2004.
Sachin S. Sapatnekar,
Rupesh S. Shelar,
S. Sapatnekar,
2001,
IEEE/ACM International Conference on Computer Aided Design. ICCAD 2001. IEEE/ACM Digest of Technical Papers (Cat. No.01CH37281).
Sachin S. Sapatnekar,
Suresh Raman,
Charles J. Alpert,
2000,
ISPD '00.
Sachin S. Sapatnekar,
Jatuchai Pangjun,
S. Sapatnekar,
2002,
IEEE Trans. Very Large Scale Integr. Syst..
Weitong Chuang,
Ibrahim N. Hajj,
Sachin S. Sapatnekar,
1993,
Proceedings of IEEE Custom Integrated Circuits Conference - CICC '93.
Kurt Keutzer,
Sachin S. Sapatnekar,
Eshel Haritan,
2008,
2008 45th ACM/IEEE Design Automation Conference.
Sung-Mo Kang,
Sachin S. Sapatnekar,
1993
.
Sachin S. Sapatnekar,
Naresh Maheshwari,
1998,
Proceedings Design, Automation and Test in Europe.
Min Zhao,
Sachin S. Sapatnekar,
1998,
ISCAS '98. Proceedings of the 1998 IEEE International Symposium on Circuits and Systems (Cat. No.98CH36187).
Sachin S. Sapatnekar,
Zhaoxin Liang,
2016,
IEEE Journal on Exploratory Solid-State Computational Devices and Circuits.
Sachin S. Sapatnekar,
Manfred Wiesel,
S. Sapatnekar,
2001,
ISPD.
Min Zhao,
Sachin S. Sapatnekar,
S. Sapatnekar,
1998,
1998 IEEE/ACM International Conference on Computer-Aided Design. Digest of Technical Papers (IEEE Cat. No.98CB36287).
Sachin S. Sapatnekar,
Jaskirat Singh,
2004,
ISPD '04.
Keshab K. Parhi,
Sachin S. Sapatnekar,
Vijay Sundararajan,
2004,
TODE.
Sachin S. Sapatnekar,
Chris H. Kim,
Sanjay V. Kumar,
2007,
2007 44th ACM/IEEE Design Automation Conference.
Ying Chen,
Sachin S. Sapatnekar,
David J. Lilja,
2005,
Proceedings. 42nd Design Automation Conference, 2005..
Sachin S. Sapatnekar,
H. Sivaramakrishnan,
N. Kumaraguruparan,
2012,
2012 IEEE PES Innovative Smart Grid Technologies (ISGT).
Sachin S. Sapatnekar,
Charles J. Alpert,
Sachin S. Sapatnekar,
2003,
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst..
Sachin S. Sapatnekar,
Jiang Hu,
S. Sapatnekar,
2001,
Integr..
Sachin S. Sapatnekar,
Jaskirat Singh,
2006,
2006 43rd ACM/IEEE Design Automation Conference.
Sachin S. Sapatnekar,
Rupesh S. Shelar,
S. Sapatnekar,
2002,
IWLS.
Sachin S. Sapatnekar,
2013,
2013 International Symposium on VLSI Technology, Systems and Application (VLSI-TSA).
Min Zhao,
Sachin S. Sapatnekar,
S. Sapatnekar,
1999,
1999 IEEE/ACM International Conference on Computer-Aided Design. Digest of Technical Papers (Cat. No.99CH37051).
Sung-Mo Kang,
Sachin S. Sapatnekar,
Pravin M. Vaidya,
1993,
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst..
Sachin S. Sapatnekar,
Chris H. Kim,
Luke R. Everson,
2019,
2019 IEEE International Solid- State Circuits Conference - (ISSCC).
Sachin S. Sapatnekar,
Piyush K. Sancheti,
1995,
Proceedings of ISCAS'95 - International Symposium on Circuits and Systems.
Sachin S. Sapatnekar,
Prashant Saxena,
Rupesh S. Shelar,
2006,
IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems.
Sachin S. Sapatnekar,
Haitian Hu,
2002,
Proceedings. IEEE International Conference on Computer Design: VLSI in Computers and Processors.
Sachin S. Sapatnekar,
Grant Martin,
A. Kahng,
2005,
IEEE Des. Test Comput..
Sachin S. Sapatnekar,
David J. Lilja,
D. Lilja,
2004
.
Sachin S. Sapatnekar,
Hongliang Chang,
S. Sapatnekar,
2005,
IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems.
Sachin S. Sapatnekar,
Martin D F Wong,
Martin D. F. Wong,
2004
.
Sachin S. Sapatnekar,
Ulya R. Karpuzcu,
S. Karen Khatamifard,
2018,
IEEE Computer Architecture Letters.
Sachin S. Sapatnekar,
2010,
DAC 2010.
Sachin S. Sapatnekar,
Piyush K. Sancheti,
P. K. Sancheti,
1996,
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst..
Sachin S. Sapatnekar,
Noel Menezes,
2001,
VLSI Design.
Sachin S. Sapatnekar,
Weiping Shi,
Charles J. Alpert,
2007,
8th International Symposium on Quality Electronic Design (ISQED'07).
Sachin S. Sapatnekar,
Dinesh P. Mehta,
Charles J. Alpert,
2008
.
Jie Gu,
Sachin S. Sapatnekar,
John Keane,
2006,
IEEE Custom Integrated Circuits Conference 2006.
Keshab K. Parhi,
Sachin S. Sapatnekar,
Martin Kuhlmann,
1999,
Proceedings 1999 IEEE International Conference on Computer Design: VLSI in Computers and Processors (Cat. No.99CB37040).
Sung-Mo Kang,
Sachin S. Sapatnekar,
Haifeng Qian,
2006,
Proceedings of the IEEE.
Rajendran Panda,
David Blaauw,
Min Zhao,
2002,
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst..
Sachin S. Sapatnekar,
Prashant Saxena,
Rupesh S. Shelar,
2005,
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst..
Sachin S. Sapatnekar,
Pulkit Jain,
Chris H. Kim,
2010
.
Sani R. Nassif,
Sachin S. Sapatnekar,
Haifeng Qian,
2003,
DAC '03.
Keshab K. Parhi,
Sachin S. Sapatnekar,
Vijay Sundararajan,
2000,
Proceedings 37th Design Automation Conference.
Sachin S. Sapatnekar,
2007
.
Sachin S. Sapatnekar,
Felipe S. Marques,
Leomar S. da Rosa,
2007,
GLSVLSI '07.
Sachin S. Sapatnekar,
Jiang Hu,
Chaofan Li,
2019,
20th International Symposium on Quality Electronic Design (ISQED).
Sachin S. Sapatnekar,
Naresh Maheshwari,
S. Sapatnekar,
1999
.
Sachin S. Sapatnekar,
Naresh Maheshwari,
1997,
DAC.
Sachin S. Sapatnekar,
Jiang Hu,
Ramesh Harjani,
2019,
2019 56th ACM/IEEE Design Automation Conference (DAC).
Sachin S. Sapatnekar,
Natarajan Viswanathan,
Charles J. Alpert,
2012,
DAC Design Automation Conference 2012.
Sachin S. Sapatnekar,
Sravan K. Marella,
Sanjay V. Kumar,
2012,
2012 IEEE/ACM International Conference on Computer-Aided Design (ICCAD).
Sachin S. Sapatnekar,
Jordi Cortadella,
Palkesh Jain,
2016,
IEEE Transactions on Very Large Scale Integration (VLSI) Systems.
Sachin S. Sapatnekar,
1999,
IEEE 8th Topical Meeting on Electrical Performance of Electronic Packaging (Cat. No.99TH8412).
Sung-Mo Kang,
Sachin S. Sapatnekar,
Jaewon Kim,
1994,
Proceedings of IEEE International Symposium on Circuits and Systems - ISCAS '94.
Antonia Zhai,
Pingqiang Zhou,
Sachin S. Sapatnekar,
2014,
2014 IEEE 28th International Parallel and Distributed Processing Symposium.
Sachin S. Sapatnekar,
Shrirang K. Karandikar,
2003,
IEEE Trans. Very Large Scale Integr. Syst..
Rajendran Panda,
David Blaauw,
Min Zhao,
2003,
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst..
Rajendran Panda,
Tim Edwards,
David Blaauw,
2000,
DAC.
Sachin S. Sapatnekar,
Jordi Cortadella,
Palkesh Jain,
2015,
The 20th Asia and South Pacific Design Automation Conference.
Luciano Lavagno,
Sachin S. Sapatnekar,
Jordi Cortadella,
2015
.
Sachin S. Sapatnekar,
Jatuchai Pangjun,
S. Sapatnekar,
1999,
Proceedings. 1999 International Symposium on Low Power Electronics and Design (Cat. No.99TH8477).
Sachin S. Sapatnekar,
Shrirang K. Karandikar,
2004,
IEEE/ACM International Conference on Computer Aided Design, 2004. ICCAD-2004..
Sachin S. Sapatnekar,
2007,
IEEE Design & Test of Computers.
Sachin S. Sapatnekar,
Gracieli Posser,
Ricardo Reis,
2014,
2014 IEEE/ACM International Conference on Computer-Aided Design (ICCAD).
Lin Huang,
Sachin S. Sapatnekar,
Jiang Hu,
2018,
2018 55th ACM/ESDA/IEEE Design Automation Conference (DAC).
Sachin S. Sapatnekar,
Tengtao Li,
S. Sapatnekar,
2020,
2020 21st International Symposium on Quality Electronic Design (ISQED).
Sachin S. Sapatnekar,
Tengtao Li,
2017,
2017 IEEE/ACM International Conference on Computer-Aided Design (ICCAD).
Sani R. Nassif,
Sachin S. Sapatnekar,
Haifeng Qian,
2005,
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst..
Sachin S. Sapatnekar,
S. Sapatnekar,
2011,
Low-Power Variation-Tolerant Design in Nanometer Silicon.
Sani R. Nassif,
Sachin S. Sapatnekar,
Jiang Hu,
2004,
IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems.
Sachin S. Sapatnekar,
Kishor Kunal,
Yaguang Li,
2020,
ISPD.
Sachin S. Sapatnekar,
S. K. Karandikar,
2004,
ICCAD 2004.
Sachin S. Sapatnekar,
Shrirang K. Karandikar,
2008,
IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems.
Kia Bazargan,
Sachin S. Sapatnekar,
S. Sapatnekar,
2008,
Handbook of Algorithms for Physical Design Automation.
Sachin S. Sapatnekar,
Zhengyang Zhao,
Ulya R. Karpuzcu,
2018,
ArXiv.
Sachin S. Sapatnekar,
Haihua Su,
S. Sapatnekar,
2001,
IEEE/ACM International Conference on Computer Aided Design. ICCAD 2001. IEEE/ACM Digest of Technical Papers (Cat. No.01CH37281).
Pingqiang Zhou,
Sachin S. Sapatnekar,
Jianxin Fang,
2012,
2012 IEEE/ACM International Conference on Computer-Aided Design (ICCAD).
Yao-Wen Chang,
Chia-Lin Yang,
Sachin S. Sapatnekar,
2009,
IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems.
Sachin S. Sapatnekar,
Martin Kuhlmann,
S. Sapatnekar,
2001,
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst..
Wei Luo,
Sachin S. Sapatnekar,
Jiang Hu,
2015,
2015 52nd ACM/EDAC/IEEE Design Automation Conference (DAC).
Sachin S. Sapatnekar,
Tianpei Zhang,
Yong Zhan,
2006,
Asia and South Pacific Conference on Design Automation, 2006..
Sachin S. Sapatnekar,
1996,
1996 IEEE International Symposium on Circuits and Systems. Circuits and Systems Connecting the World. ISCAS 96.
Sachin S. Sapatnekar,
S. Sapatnekar,
2019,
ISPD.
Sachin S. Sapatnekar,
Sachin S. Sapatnekar,
2012,
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst..
Sachin S. Sapatnekar,
Naresh Maheshwari,
1999
.
Sachin S. Sapatnekar,
Qunzeng Liu,
2010,
IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems.
Sachin S. Sapatnekar,
Ulya R. Karpuzcu,
Meisam Razaviyayn,
2019,
IEEE Journal on Exploratory Solid-State Computational Devices and Circuits.
Sachin S. Sapatnekar,
Sachin S. Sapatnekar,
2011,
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst..
Sachin S. Sapatnekar,
Sachin S. Sapatnekar,
2013,
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst..
Sachin S. Sapatnekar,
P. K. Sancheti,
S. Sapatnekar,
1994,
Proceedings of IEEE Custom Integrated Circuits Conference - CICC '94.
Sachin S. Sapatnekar,
David J. Lilja,
D. Lilja,
2004
.
Sachin S. Sapatnekar,
Haifeng Qian,
2004
.
Sachin S. Sapatnekar,
2005,
IEEE Design & Test of Computers.
Sachin S. Sapatnekar,
David J. Lilja,
Qianqian Fan,
2019,
2019 IEEE 38th International Performance Computing and Communications Conference (IPCCC).
Sung-Mo Kang,
Sachin S. Sapatnekar,
1993
.
Sachin S. Sapatnekar,
Shrirang K. Karandikar,
2005,
2005 IEEE International Symposium on Circuits and Systems.
Sachin S. Sapatnekar,
Frank Liu,
S. Sapatnekar,
2008,
Handbook of Algorithms for Physical Design Automation.
Sachin S. Sapatnekar,
Jiang Hu,
Wenbin Xu,
2017,
CASES.
Jason Cong,
Sachin S. Sapatnekar,
Yuan Xie,
2010
.
Sachin S. Sapatnekar,
Saket Gupta,
2012,
17th Asia and South Pacific Design Automation Conference.
Sachin S. Sapatnekar,
Sravan K. Marella,
S. Sapatnekar,
2015,
IEEE Transactions on Very Large Scale Integration (VLSI) Systems.
Sachin S. Sapatnekar,
2004,
17th International Conference on VLSI Design. Proceedings..
Sachin S. Sapatnekar,
Felipe S. Marques,
Leomar S. da Rosa,
2006,
SBCCI '06.
Sachin S. Sapatnekar,
Jiang Hu,
Deepashree Sengupta,
2017,
2017 54th ACM/EDAC/IEEE Design Automation Conference (DAC).
Sachin S. Sapatnekar,
David J. Lilja,
D. Lilja,
2004
.
Luca Benini,
Stephen A. Edwards,
Yunheung Paek,
2009
.
Kaushik Roy,
Anand Raghunathan,
Sachin S. Sapatnekar,
2017,
DAC.
Sachin S. Sapatnekar,
Jiang Hu,
Youmeng Li,
2018,
2018 55th ACM/ESDA/IEEE Design Automation Conference (DAC).
Sachin S. Sapatnekar,
Jiang Hu,
José Luis Neves,
2001,
ISCAS 2001. The 2001 IEEE International Symposium on Circuits and Systems (Cat. No.01CH37196).
Sachin S. Sapatnekar,
S. Pilli,
1997,
Proceedings of 1997 IEEE International Symposium on Circuits and Systems. Circuits and Systems in the Information Age ISCAS '97.
Sachin S. Sapatnekar,
Chris H. Kim,
Luke Everson,
2020,
IEEE Solid-State Circuits Letters.
Sachin S. Sapatnekar,
David J. Lilja,
D. Lilja,
2004
.
Pingqiang Zhou,
Sachin S. Sapatnekar,
Chris H. Kim,
2011,
2011 IEEE Custom Integrated Circuits Conference (CICC).
Jason Cong,
Sachin S. Sapatnekar,
Yuan Xie,
2009
.
Jie Gu,
Sachin S. Sapatnekar,
Chris H. Kim,
2007,
2007 44th ACM/IEEE Design Automation Conference.
Sachin S. Sapatnekar,
Meghna G. Mankalale,
2016,
ACM J. Emerg. Technol. Comput. Syst..
Sachin S. Sapatnekar,
Jiang Hu,
Charles J. Alpert,
2006,
IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems.
Sachin S. Sapatnekar,
2008,
IEEE Design & Test of Computers.
Sachin S. Sapatnekar,
S. Sapatnekar,
1996,
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst..
Sachin S. Sapatnekar,
Jianxin Fang,
2012,
17th Asia and South Pacific Design Automation Conference.
Sachin S. Sapatnekar,
Vidya A. Chhabria,
S. Sapatnekar,
2019,
20th International Symposium on Quality Electronic Design (ISQED).
Sachin S. Sapatnekar,
Haifeng Qian,
S. R. Nassif,
2004,
IEEE/ACM International Conference on Computer Aided Design, 2004. ICCAD-2004..
Sachin S. Sapatnekar,
Rahul B. Deokar,
1996,
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst..
Sachin S. Sapatnekar,
Jiang Hu,
Charles J. Alpert,
2004,
IEEE/ACM International Conference on Computer Aided Design, 2004. ICCAD-2004..
Sachin S. Sapatnekar,
2007,
GLSVLSI '07.
Sachin S. Sapatnekar,
Yong Zhan,
S. Sapatnekar,
2008,
JETC.
Sachin S. Sapatnekar,
Daksh Lehther,
1996,
ICCAD 1996.
Sachin S. Sapatnekar,
S. Sapatnekar,
2000,
VLSI Design 2000. Wireless and Digital Imaging in the Millennium. Proceedings of 13th International Conference on VLSI Design.
Ying Chen,
Sachin S. Sapatnekar,
David J. Lilja,
2006,
2006 IEEE International Symposium on Performance Analysis of Systems and Software.
Robert Perricone,
Michael Niemier,
Sachin S. Sapatnekar,
2019,
2019 Design, Automation & Test in Europe Conference & Exhibition (DATE).
Sachin S. Sapatnekar,
Rupesh S. Shelar,
2005,
IEEE Transactions on Very Large Scale Integration (VLSI) Systems.
Sachin S. Sapatnekar,
Prithviraj Banerjee,
Shankar Ramaswamy,
1994
.
Sung-Mo Kang,
Sachin S. Sapatnekar,
Pravin M. Vaidya,
1993,
ICCAD '93.
Sachin S. Sapatnekar,
Yong Zhan,
S. Sapatnekar,
2007,
IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems.
Sachin S. Sapatnekar,
Hongliang Chang,
2007,
TODE.
Sachin S. Sapatnekar,
Jaskirat Singh,
2005,
ISPD '05.
Sachin S. Sapatnekar,
Zhengyang Zhao,
Ulya R. Karpuzcu,
2018,
ACM Trans. Archit. Code Optim..
Sachin S. Sapatnekar,
S. Sapatnekar,
2011,
IEEE Journal on Emerging and Selected Topics in Circuits and Systems.
Sachin S. Sapatnekar,
David J. Lilja,
D. Lilja,
2004
.
Pingqiang Zhou,
Sachin S. Sapatnekar,
Chris H. Kim,
2012,
2012 IEEE/ACM International Conference on Computer-Aided Design (ICCAD).
Pingqiang Zhou,
Sachin S. Sapatnekar,
Ping-Hung Yuh,
2012,
TODE.
Sachin S. Sapatnekar,
Saket Gupta,
2012,
IEEE Transactions on Very Large Scale Integration (VLSI) Systems.
Sachin S. Sapatnekar,
John P. Fishburn,
Harsha Sathyamurthy,
1998,
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst..
Sachin S. Sapatnekar,
Yong Zhan,
2007
.
Kevin J. Nowka,
Sachin S. Sapatnekar,
2005,
IEEE Des. Test Comput..
Sachin S. Sapatnekar,
2005,
IEEE Design & Test of Computers.
Sachin S. Sapatnekar,
Baktash Boghrati,
2010,
2010 15th Asia and South Pacific Design Automation Conference (ASP-DAC).
Sachin S. Sapatnekar,
Chris H. Kim,
Jianping Wang,
2015,
Proceedings of the IEEE.
Sachin S. Sapatnekar,
Chris H. Kim,
Zhengyang Zhao,
2016,
IEEE Journal on Exploratory Solid-State Computational Devices and Circuits.
Sachin S. Sapatnekar,
2010
.
Massoud Pedram,
Sachin S. Sapatnekar,
S. Sapatnekar,
2001
.
Min Zhao,
Sachin S. Sapatnekar,
S. Sapatnekar,
2000,
2000 IEEE International Symposium on Circuits and Systems. Emerging Technologies for the 21st Century. Proceedings (IEEE Cat No.00CH36353).
Sachin S. Sapatnekar,
Prashant Saxena,
Rupesh S. Shelar,
2005,
IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems.
Sani R. Nassif,
Sachin S. Sapatnekar,
Marc D. Riedel,
2003
.
Sachin S. Sapatnekar,
Vivek Mishra,
2017,
IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems.
Sachin S. Sapatnekar,
Yanbin Jiang,
1999,
1999 IEEE/ACM International Conference on Computer-Aided Design. Digest of Technical Papers (Cat. No.99CH37051).
Min Zhao,
Sachin S. Sapatnekar,
2001,
Proceedings of the 38th Design Automation Conference (IEEE Cat. No.01CH37232).
Sachin S. Sapatnekar,
Zhengyang Zhao,
Ulya R. Karpuzcu,
2019,
ACM Great Lakes Symposium on VLSI.
Sachin S. Sapatnekar,
Mahesh Ketkar,
2002,
IEEE/ACM International Conference on Computer Aided Design, 2002. ICCAD 2002..
Sachin S. Sapatnekar,
Jianxin Fang,
2012,
IEEE Transactions on Very Large Scale Integration (VLSI) Systems.
Sachin S. Sapatnekar,
Hongliang Chang,
2003,
ICCAD 2003.
Sachin S. Sapatnekar,
Yong Zhan,
2005,
ICCAD-2005. IEEE/ACM International Conference on Computer-Aided Design, 2005..
Sachin S. Sapatnekar,
Saibal Mukhopadhyay,
Amit Ranjan Trivedi,
2015,
2015 IEEE/ACM International Conference on Computer-Aided Design (ICCAD).
Sachin S. Sapatnekar,
Jiang Hu,
2000,
IEEE/ACM International Conference on Computer Aided Design. ICCAD - 2000. IEEE/ACM Digest of Technical Papers (Cat. No.00CH37140).
Sachin S. Sapatnekar,
Rahul B. Deokar,
S. Sapatnekar,
1994,
Proceedings of IEEE International Symposium on Circuits and Systems - ISCAS '94.
Sani R. Nassif,
Sachin S. Sapatnekar,
Haifeng Qian,
2005,
IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems.
Sachin S. Sapatnekar,
Mahesh Ketkar,
2002,
ICCAD 2002.
Sachin S. Sapatnekar,
2009,
Commun. ACM.
Sachin S. Sapatnekar,
Jordi Cortadella,
Palkesh Jain,
2015,
2015 IEEE International Reliability Physics Symposium.
Sachin S. Sapatnekar,
John Keane,
Chris H. Kim,
2006,
2006 43rd ACM/IEEE Design Automation Conference.
Sachin S. Sapatnekar,
Jiang Hu,
Farhana Sharmin Snigdha,
2021,
IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems.
Sachin S. Sapatnekar,
Jiang Hu,
1999,
DAC '99.
Sachin S. Sapatnekar,
Yong Zhan,
Sanjay V. Kumar,
2008
.
Sachin S. Sapatnekar,
Jiang Hu,
Deepashree Sengupta,
2019,
IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems.
Sachin S. Sapatnekar,
Dinesh P. Mehta,
Charles J. Alpert,
2008,
Handbook of Algorithms for Physical Design Automation.
Sani R. Nassif,
Sachin S. Sapatnekar,
Haihua Su,
2002,
ISPD '02.
Sachin S. Sapatnekar,
Sravan K. Marella,
S. Sapatnekar,
2018,
IEEE Transactions on Very Large Scale Integration (VLSI) Systems.
Sachin S. Sapatnekar,
Brent Goplen,
2007,
2007 44th ACM/IEEE Design Automation Conference.
Sachin S. Sapatnekar,
David J. Lilja,
D. Lilja,
2004
.
Keshab K. Parhi,
Sachin S. Sapatnekar,
Vijay Sundararajan,
1999,
1999 IEEE/ACM International Conference on Computer-Aided Design. Digest of Technical Papers (Cat. No.99CH37051).
Sachin S. Sapatnekar,
Naresh Maheshwari,
1999,
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst..
Sachin S. Sapatnekar,
S. Sapatnekar,
2009
.
Sachin S. Sapatnekar,
Rupesh S. Shelar,
S. Sapatnekar,
2002,
Proceedings of ASP-DAC/VLSI Design 2002. 7th Asia and South Pacific Design Automation Conference and 15h International Conference on VLSI Design.
Sachin S. Sapatnekar,
Krishnendu Chakrabarty,
2007,
JETC.
Sachin S. Sapatnekar,
Gracieli Posser,
Ricardo Reis,
2016
.
Sachin S. Sapatnekar,
Chris H. Kim,
Sanjay V. Kumar,
2011,
IEEE Transactions on Very Large Scale Integration (VLSI) Systems.
Sachin S. Sapatnekar,
Jiang Hu,
José Luis Neves,
2001,
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst..
Sachin S. Sapatnekar,
Tianpei Zhang,
Yong Zhan,
2007,
2007 IEEE/ACM International Conference on Computer-Aided Design.
Sachin S. Sapatnekar,
Zamshed Iqbal Chowdhury,
Masoud Zabihi,
2020,
2020 57th ACM/IEEE Design Automation Conference (DAC).
Sachin S. Sapatnekar,
Zhaoxin Liang,
Meghna G. Mankalale,
2016,
2016 21st Asia and South Pacific Design Automation Conference (ASP-DAC).
Sachin S. Sapatnekar,
David J. Lilja,
Qianqian Fan,
2020,
IEEE Transactions on Computers.
Andrew B. Kahng,
Sachin S. Sapatnekar,
Jiang Hu,
2002,
IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems.
Sachin S. Sapatnekar,
Jiang Hu,
Charles J. Alpert,
2001,
Proceedings of the 38th Design Automation Conference (IEEE Cat. No.01CH37232).
Sachin S. Sapatnekar,
Tonmoy Dhar,
Kishor Kunal,
2020,
2020 IEEE/ACM International Conference On Computer Aided Design (ICCAD).
Sachin S. Sapatnekar,
Rahul B. Deokar,
1995,
DAC '95.
Sachin S. Sapatnekar,
Venkatesan Rajappan,
2003,
Proceedings 21st International Conference on Computer Design.
Sachin S. Sapatnekar,
Haitian Hu,
2002,
IEEE Trans. Very Large Scale Integr. Syst..
Sachin S. Sapatnekar,
Vivek Mishra,
Palkesh Jain,
2017,
2017 54th ACM/EDAC/IEEE Design Automation Conference (DAC).
Sachin S. Sapatnekar,
Gracieli Posser,
Ricardo Reis,
2015,
2015 IEEE 6th Latin American Symposium on Circuits & Systems (LASCAS).
Yan Feng,
Sachin S. Sapatnekar,
Yong Zhan,
2006,
Asia and South Pacific Conference on Design Automation, 2006..
Sachin S. Sapatnekar,
Chris H. Kim,
Sanjay V. Kumar,
2006,
7th International Symposium on Quality Electronic Design (ISQED'06).
Sachin S. Sapatnekar,
Palkesh Jain,
Nikhil Patil,
2020,
2021 26th Asia and South Pacific Design Automation Conference (ASP-DAC).
Sachin S. Sapatnekar,
Cyrus Bamji,
Yanbin Jiang,
2001,
IEEE Trans. Very Large Scale Integr. Syst..
Sachin S. Sapatnekar,
Tianpei Zhang,
S. Sapatnekar,
2005,
Proceedings of the ASP-DAC 2005. Asia and South Pacific Design Automation Conference, 2005..
Sachin S. Sapatnekar,
Tianpei Zhang,
S. Sapatnekar,
2004,
IEEE Transactions on Very Large Scale Integration (VLSI) Systems.
Sachin S. Sapatnekar,
Tianpei Zhang,
2008,
Integr..
Jason Cong,
Ronald Tetzlaff,
Gert Cauwenberghs,
2018,
IEEE Journal on Emerging and Selected Topics in Circuits and Systems.
Sachin S. Sapatnekar,
Brent Goplen,
2003,
ICCAD.
Dennis Sylvester,
Sachin S. Sapatnekar,
Anup Kumar Sultania,
2004,
Proceedings. 41st Design Automation Conference, 2004..
Sachin S. Sapatnekar,
Cyrus Bamji,
Yanbin Jiang,
1998,
Proceedings International Conference on Computer Design. VLSI in Computers and Processors (Cat. No.98CB36273).
Sachin S. Sapatnekar,
Yaoguang Wei,
2010,
ISPD '10.
Sung-Mo Kang,
Sachin S. Sapatnekar,
1992
.
Sachin S. Sapatnekar,
Naresh Maheshwari,
1998
.
Sung-Mo Kang,
Sachin S. Sapatnekar,
1993
.
Sachin S. Sapatnekar,
Tonmoy Dhar,
Kishor Kunal,
2020,
2020 Design, Automation & Test in Europe Conference & Exhibition (DATE).
Pingqiang Zhou,
Sachin S. Sapatnekar,
Vivek Mishra,
2013,
2013 Design, Automation & Test in Europe Conference & Exhibition (DATE).
Sachin S. Sapatnekar,
Brent Goplen,
S. Sapatnekar,
2005,
ISPD '05.
Sachin S. Sapatnekar,
V. B. Rao,
S. Sapatnekar,
1990,
IEEE Proceedings of the Custom Integrated Circuits Conference.
Sachin S. Sapatnekar,
Zhengyang Zhao,
Ulya R. Karpuzcu,
2019,
20th International Symposium on Quality Electronic Design (ISQED).
Sachin S. Sapatnekar,
Haifeng Qian,
Hongliang Chang,
2004,
PATMOS.
Sachin S. Sapatnekar,
Ramesh Harjani,
Jaijeet S. Roychowdhury,
2005,
18th International Conference on VLSI Design held jointly with 4th International Conference on Embedded Systems Design.
Sachin S. Sapatnekar,
Sachin S. Sapatnekar,
2013,
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst..
Sachin S. Sapatnekar,
Eren Kursun,
Haifeng Qian,
2010,
2010 IEEE/ACM International Conference on Computer-Aided Design (ICCAD).
Sachin S. Sapatnekar,
Guoqiang Chen,
S. Sapatnekar,
2003,
ISPD '03.
Sachin S. Sapatnekar,
S. Sapatnekar,
2016,
Encyclopedia of Algorithms.
Sachin S. Sapatnekar,
Kaushik Gala,
Haihua Su,
2003,
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst..
Sachin S. Sapatnekar,
Qunzeng Liu,
2007,
2007 44th ACM/IEEE Design Automation Conference.
Sachin S. Sapatnekar,
2007
.
Min Zhao,
Sachin S. Sapatnekar,
S. Sapatnekar,
2000,
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst..
Sung-Mo Kang,
Sachin S. Sapatnekar,
1993
.
Sachin S. Sapatnekar,
Deepashree Sengupta,
2015,
2015 IEEE/ACM International Conference on Computer-Aided Design (ICCAD).
Sachin S. Sapatnekar,
Juho Kim,
Cyrus Bamji,
1998,
IEEE Trans. Very Large Scale Integr. Syst..
Sachin S. Sapatnekar,
Jatan C. Shah,
S. Sapatnekar,
1996,
Proceedings of 9th International Conference on VLSI Design.
Sachin S. Sapatnekar,
Jiang Hu,
Huibo Hou,
1999,
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst..
Sachin S. Sapatnekar,
Jiang Hu,
Frank Liu,
2010,
2010 15th Asia and South Pacific Design Automation Conference (ASP-DAC).
Sachin S. Sapatnekar,
Prithviraj Banerjee,
Shankar Ramaswamy,
1994,
1994 Internatonal Conference on Parallel Processing Vol. 2.
Weitong Chuang,
Ibrahim N. Hajj,
Sachin S. Sapatnekar,
1993,
ICCAD.
Sachin S. Sapatnekar,
Jordi Cortadella,
S. Sapatnekar,
2017
.
Sachin S. Sapatnekar,
Qunzeng Liu,
2009,
ISPD '09.
Pingqiang Zhou,
Sachin S. Sapatnekar,
Karthikk Sridharan,
2009,
2009 Asia and South Pacific Design Automation Conference.
Zhi-Quan Luo,
Sachin S. Sapatnekar,
Jaskirat Singh,
2008,
IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems.
Sachin S. Sapatnekar,
Hongliang Chang,
S. Sapatnekar,
2005,
Proceedings. 42nd Design Automation Conference, 2005..
Sachin S. Sapatnekar,
Mahesh Ketkar,
Kishore Kasamsetty,
2000,
DAC.
Sachin S. Sapatnekar,
Juho Kim,
Cyrus Bamji,
1997,
ISPD '97.
Sachin S. Sapatnekar,
Saket Gupta,
2014,
IEEE Transactions on Very Large Scale Integration (VLSI) Systems.
Kaushik Roy,
Anand Raghunathan,
Sachin S. Sapatnekar,
2018,
2018 Design, Automation & Test in Europe Conference & Exhibition (DATE).
Sachin S. Sapatnekar,
Mahesh Ketkar,
Kishore Kasamsetty,
2000,
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst..
Sachin S. Sapatnekar,
Ulya R. Karpuzcu,
Masoud Zabihi,
2020,
IEEE Journal on Exploratory Solid-State Computational Devices and Circuits.
Pingqiang Zhou,
Sachin S. Sapatnekar,
Ping-Hung Yuh,
2010,
2010 15th Asia and South Pacific Design Automation Conference (ASP-DAC).
Sachin S. Sapatnekar,
David J. Lilja,
Vidyasagar Nookala,
2006,
ISLPED'06 Proceedings of the 2006 International Symposium on Low Power Electronics and Design.
Sachin S. Sapatnekar,
Dingzhu Du,
Bing Lu,
2001,
Network Theory and Applications.
Sachin S. Sapatnekar,
David J. Lilja,
D. Lilja,
2004
.
Sachin S. Sapatnekar,
Pingqiang Zhou,
Karthikk Sridharan,
2011
.
Sachin S. Sapatnekar,
Brent Goplen,
S. Sapatnekar,
2006,
IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems.
Radu Marculescu,
Majid Sarrafzadeh,
Vishwani D. Agrawal,
2018,
IEEE Transactions on Very Large Scale Integration (VLSI) Systems.
Sachin S. Sapatnekar,
Gracieli Posser,
Ricardo Reis,
2015,
2015 IEEE International Conference on Electronics, Circuits, and Systems (ICECS).
Sachin S. Sapatnekar,
S. Sapatnekar,
2011
.
Sachin S. Sapatnekar,
Chris H. Kim,
Saket Gupta,
2012,
Proceedings of the IEEE 2012 Custom Integrated Circuits Conference.
Pingqiang Zhou,
Sachin S. Sapatnekar,
Karthikk Sridharan,
2009,
IEEE Design & Test of Computers.
Sachin S. Sapatnekar,
2015
.
Sachin S. Sapatnekar,
2011,
IEEE/ACM International Symposium on Low Power Electronics and Design.
Sachin S. Sapatnekar,
Jiang Hu,
I-Hong Hou,
2019,
2019 IEEE 25th International Conference on Embedded and Real-Time Computing Systems and Applications (RTCSA).
Sachin S. Sapatnekar,
Renato P. Ribas,
André Inácio Reis,
2005,
2005 International Conference on Computer Design.
Sachin S. Sapatnekar,
Chris H. Kim,
Jongyeon Kim,
2014,
2014 Design, Automation & Test in Europe Conference & Exhibition (DATE).
Weitong Chuang,
Sachin S. Sapatnekar,
S. Sapatnekar,
2000,
TODE.
Sachin S. Sapatnekar,
Shrirang K. Karandikar,
2004
.
Sachin S. Sapatnekar,
Prithviraj Banerjee,
Shankar Ramaswamy,
1997,
IEEE Trans. Parallel Distributed Syst..
Sachin S. Sapatnekar,
Baktash Boghrati,
2011,
2011 Design, Automation & Test in Europe.
Sachin S. Sapatnekar,
Alberto Moreno-Conde,
Jordi Cortadella,
2016,
2016 22nd IEEE International Symposium on Asynchronous Circuits and Systems (ASYNC).
Sachin S. Sapatnekar,
Deepashree Sengupta,
S. Sapatnekar,
2017,
IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems.
Sachin S. Sapatnekar,
Baktash Boghrati,
S. Sapatnekar,
2014,
TODE.
Sachin S. Sapatnekar,
Hongliang Chang,
S. Sapatnekar,
2003,
ICCAD-2003. International Conference on Computer Aided Design (IEEE Cat. No.03CH37486).
Sachin S. Sapatnekar,
Zhengyang Zhao,
Ulya R. Karpuzcu,
2019,
IEEE Transactions on Computers.
Sachin S. Sapatnekar,
Naresh Maheshwari,
1995,
38th Midwest Symposium on Circuits and Systems. Proceedings.
Sachin S. Sapatnekar,
Saket Gupta,
S. Sapatnekar,
2010,
2010 15th Asia and South Pacific Design Automation Conference (ASP-DAC).
Sachin S. Sapatnekar,
S. Sapatnekar,
2008
.
Sachin S. Sapatnekar,
Shrirang K. Karandikar,
2004,
Proceedings Design, Automation and Test in Europe Conference and Exhibition.
Sachin S. Sapatnekar,
Kaushik Gala,
Haihua Su,
2000,
IEEE/ACM International Conference on Computer Aided Design. ICCAD - 2000. IEEE/ACM Digest of Technical Papers (Cat. No.00CH37140).
Sachin S. Sapatnekar,
Deepashree Sengupta,
2014,
2014 19th Asia and South Pacific Design Automation Conference (ASP-DAC).
Sachin S. Sapatnekar,
Prashant Saxena,
Brent Goplen,
2005,
Proceedings. 42nd Design Automation Conference, 2005..
Sachin S. Sapatnekar,
Chris H. Kim,
Sanjay V. Kumar,
2006,
Asia and South Pacific Conference on Design Automation, 2006..
Sachin S. Sapatnekar,
S. Sapatnekar,
2008
.
Sachin S. Sapatnekar,
Gracieli Posser,
Ricardo Augusto da Luz Reis,
2014,
2014 21st IEEE International Conference on Electronics, Circuits and Systems (ICECS).
Kia Bazargan,
Yan Feng,
Sachin S. Sapatnekar,
2005,
IEEE Design & Test of Computers.
Sachin S. Sapatnekar,
Yong Zhan,
Yan Feng,
2006
.
Sachin S. Sapatnekar,
Haihua Su,
2003,
IEEE Design & Test of Computers.
Sachin S. Sapatnekar,
S. Sapatnekar,
2005,
IEEE Design & Test of Computers.
Dennis Sylvester,
Sachin S. Sapatnekar,
Anup Kumar Sultania,
2004,
IEEE International Conference on Computer Design: VLSI in Computers and Processors, 2004. ICCD 2004. Proceedings..
Antonia Zhai,
Pingqiang Zhou,
Sachin S. Sapatnekar,
2011,
IEEE/ACM International Symposium on Low Power Electronics and Design.
Sachin S. Sapatnekar,
Prashant Saxena,
Rupesh S. Shelar,
2007,
Series on Integrated Circuits and Systems.
Sachin S. Sapatnekar,
Naresh Maheshwari,
1999
.
Weitong Chuang,
Sachin S. Sapatnekar,
S. Sapatnekar,
1995,
Proceedings of IEEE International Conference on Computer Aided Design (ICCAD).
Sachin S. Sapatnekar,
Felipe S. Marques,
Renato P. Ribas,
2005,
GLSVLSI '05.
Sani R. Nassif,
Sachin S. Sapatnekar,
Haihua Su,
2003,
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst..
Sachin S. Sapatnekar,
Natarajan Viswanathan,
Charles J. Alpert,
2014,
TODE.
Sachin S. Sapatnekar,
Chris H. Kim,
Ayan Paul,
2014,
2014 27th International Conference on VLSI Design and 2014 13th International Conference on Embedded Systems.
Sani R. Nassif,
Sachin S. Sapatnekar,
Jiang Hu,
2002,
DAC '02.
Sachin S. Sapatnekar,
Jiang Hu,
Deepashree Sengupta,
2019,
Approximate Circuits.
Sachin S. Sapatnekar,
S. Sapatnekar,
2009,
2009 Asia and South Pacific Design Automation Conference.
Sachin S. Sapatnekar,
Saket Gupta,
2013,
TODE.
Kia Bazargan,
Sachin S. Sapatnekar,
Haifeng Qian,
2007,
2007 IEEE/ACM International Conference on Computer-Aided Design.
Sachin S. Sapatnekar,
Jiang Hu,
Ibrahim Ahmed,
2019,
ASP-DAC.
Sachin S. Sapatnekar,
Tonmoy Dhar,
Kishor Kunal,
2020,
IEEE Design & Test.
Sachin S. Sapatnekar,
Naresh Maheshwari,
1999,
Integr..
Sachin S. Sapatnekar,
Zhengyang Zhao,
Jiaxi Hu,
2018,
IEEE Journal on Exploratory Solid-State Computational Devices and Circuits.
Kia Bazargan,
Sachin S. Sapatnekar,
Haifeng Qian,
2007,
ICCAD 2007.
Sachin S. Sapatnekar,
Jiang Hu,
2002,
VLSI Design.
Zhi-Quan Luo,
Sachin S. Sapatnekar,
Jaskirat Singh,
2005,
Proceedings. 42nd Design Automation Conference, 2005..
Sachin S. Sapatnekar,
Vivek Mishra,
S. Sapatnekar,
2015,
2015 IEEE International Reliability Physics Symposium.
Sachin S. Sapatnekar,
Jiang Hu,
Wenbin Xu,
2017,
2017 IEEE/ACM International Symposium on Low Power Electronics and Design (ISLPED).
Sachin S. Sapatnekar,
Yehea Ismail,
J. Eric Bracken,
2005,
DAC 2005.
Sachin S. Sapatnekar,
Zhengyang Zhao,
Ulya R. Karpuzcu,
2018,
ArXiv.
Sachin S. Sapatnekar,
Jiang Hu,
2001,
Proceedings 2001 IEEE International Conference on Computer Design: VLSI in Computers and Processors. ICCD 2001.
Sachin S. Sapatnekar,
S. Sapatnekar,
1994,
31st Design Automation Conference.
Sachin S. Sapatnekar,
Jianxin Fang,
2014,
IEEE Transactions on Very Large Scale Integration (VLSI) Systems.
Sachin S. Sapatnekar,
Yong Zhan,
2004,
Proceedings Design, Automation and Test in Europe Conference and Exhibition.
Sachin S. Sapatnekar,
Saket Gupta,
2012,
17th Asia and South Pacific Design Automation Conference.
Sachin S. Sapatnekar,
Yong Zhan,
S. Sapatnekar,
2005,
Proceedings of the ASP-DAC 2005. Asia and South Pacific Design Automation Conference, 2005..
Min Zhao,
Sachin S. Sapatnekar,
S. Sapatnekar,
2002,
TODE.
Sachin S. Sapatnekar,
Chris H. Kim,
Sanjay V. Kumar,
2008,
IEEE Transactions on Very Large Scale Integration (VLSI) Systems.
Sachin S. Sapatnekar,
Vivek Mishra,
Deepashree Sengupta,
2016,
2016 53nd ACM/EDAC/IEEE Design Automation Conference (DAC).
Sachin S. Sapatnekar,
Zhengyang Zhao,
Jianping Wang,
2018,
IEEE Transactions on Electron Devices.
Sachin S. Sapatnekar,
Jiang Hu,
Charles J. Alpert,
2003,
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst..
Robert Perricone,
Sachin S. Sapatnekar,
Michael T. Niemier,
2017,
Design, Automation & Test in Europe Conference & Exhibition (DATE), 2017.
Sachin S. Sapatnekar,
Jordi Cortadella,
Michael Kishinevsky,
2015
.
Sachin S. Sapatnekar,
2009,
2009 15th International Workshop on Thermal Investigations of ICs and Systems.
Sachin S. Sapatnekar,
Huibo Hou,
S. Sapatnekar,
1998,
ISPD '98.
Luciano Lavagno,
Sachin S. Sapatnekar,
Alberto Moreno-Conde,
2015,
2015 33rd IEEE International Conference on Computer Design (ICCD).
Sachin S. Sapatnekar,
Tianpei Zhang,
Yong Zhan,
2007,
ICCAD 2007.
Gustavo de Veciana,
Enrico Macii,
Sachin S. Sapatnekar,
2007,
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst..
Sachin S. Sapatnekar,
Daksh Lehther,
1996,
Proceedings of International Conference on Computer Aided Design.
Sachin S. Sapatnekar,
Youngsoo Shin,
Jaeha Kung,
2011,
2011 48th ACM/EDAC/IEEE Design Automation Conference (DAC).
Sani R. Nassif,
Sachin S. Sapatnekar,
Haifeng Qian,
2005,
IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems.
Sachin S. Sapatnekar,
Tengtao Li,
S. Sapatnekar,
2018,
2018 IEEE/ACM International Conference on Computer-Aided Design (ICCAD).
Sachin S. Sapatnekar,
Jiang Hu,
Charles J. Alpert,
2004,
ICCAD 2004.
Keshab K. Parhi,
Sachin S. Sapatnekar,
Vijay Sundararajan,
2002,
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst..
Sachin S. Sapatnekar,
Zhaoxin Liang,
Meghna G. Mankalale,
2017,
IEEE Transactions on Nanotechnology.
Sachin S. Sapatnekar,
Jiang Hu,
Wenbin Xu,
2017,
ISLPED.
Sachin S. Sapatnekar,
Ulya R. Karpuzcu,
S. Karen Khatamifard,
2020,
2020 53rd Annual IEEE/ACM International Symposium on Microarchitecture (MICRO).
Weitong Chuang,
Ibrahim N. Hajj,
Sachin S. Sapatnekar,
1995,
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst..
Sachin S. Sapatnekar,
Vidya A. Chhabria,
Mohammad Abdullah Al Shohel,
2021,
ArXiv.
Sachin S. Sapatnekar,
Ramesh Harjani,
Tonmoy Dhar,
2021,
ISPD.
Andrew B. Kahng,
Sachin S. Sapatnekar,
Hadi Esmaeilzadeh,
2021,
2021 IEEE/ACM International Conference On Computer Aided Design (ICCAD).
Sachin S. Sapatnekar,
Ramesh Harjani,
Yishuang Lin,
2021,
2021 ACM/IEEE 3rd Workshop on Machine Learning for CAD (MLCAD).
Sachin S. Sapatnekar,
Ramesh Harjani,
Meghna Madhusudan,
2021,
2021 IEEE/ACM International Conference On Computer Aided Design (ICCAD).
Sachin S. Sapatnekar,
Nestoras E. Evmorfopoulos,
Vidya A. Chhabria,
2021,
2021 IEEE/ACM International Conference On Computer Aided Design (ICCAD).
Sachin S. Sapatnekar,
Ulya R. Karpuzcu,
Masoud Zabihi,
2021,
IEEE Journal on Exploratory Solid-State Computational Devices and Circuits.
Sachin S. Sapatnekar,
Kishor Kunal,
Susmita Dey Manasi,
2021,
ArXiv.
Sachin S. Sapatnekar,
Ramesh Harjani,
Meghna Madhusudan,
2021,
2021 Design, Automation & Test in Europe Conference & Exhibition (DATE).
Keshab K. Parhi,
Sachin S. Sapatnekar,
Ulya R. Karpuzcu,
2021,
ACM Trans. Archit. Code Optim..
Sachin S. Sapatnekar,
Susmita Dey Manasi,
S. Sapatnekar,
2021,
2021 26th Asia and South Pacific Design Automation Conference (ASP-DAC).
Sachin S. Sapatnekar,
Meghna Madhusudan,
Jiang Hu,
2021,
2021 Design, Automation & Test in Europe Conference & Exhibition (DATE).
Sachin S. Sapatnekar,
Kishor Kunal,
Masoud Zabihi,
2021,
2021 IEEE/ACM International Conference On Computer Aided Design (ICCAD).
Sachin S. Sapatnekar,
Chris H. Kim,
Luke R. Everson,
2021,
IEEE Journal of Solid-State Circuits.
Sachin S. Sapatnekar,
Ramesh Harjani,
Meghna Madhusudan,
2021,
2021 IEEE/ACM International Conference On Computer Aided Design (ICCAD).
Sachin S. Sapatnekar,
Ramesh Harjani,
Tonmoy Dhar,
2021,
2021 IEEE International Reliability Physics Symposium (IRPS).
Sachin S. Sapatnekar,
Ulya R. Karpuzcu,
Masoud Zabihi,
2021,
ACM Great Lakes Symposium on VLSI.
Sachin S. Sapatnekar,
Vidya A. Chhabria,
S. Sapatnekar,
2021,
IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems.
Sachin S. Sapatnekar,
Palkesh Jain,
Vidya A. Chhabria,
2021,
ACM Trans. Design Autom. Electr. Syst..
Sachin S. Sapatnekar,
Ramesh Harjani,
Tonmoy Dhar,
2021,
2021 26th Asia and South Pacific Design Automation Conference (ASP-DAC).
Sachin S. Sapatnekar,
Ulya R. Karpuzcu,
Masoud Zabihi,
2021,
ArXiv.
Pingqiang Zhou,
Sachin S. Sapatnekar,
Vivek Mishra,
2012,
ICCAD '12.
Sachin S. Sapatnekar,
Jiang Hu,
Huibo Hou,
1998
.
Sachin S. Sapatnekar,
Jatan C. Shah,
S. Sapatnekar,
1996
.
Sachin S. Sapatnekar,
M. M. Hassoun,
J. C. Shah,
1998
.
Sachin S. Sapatnekar,
1999
.
Sachin S. Sapatnekar,
S. Sapatnekar,
Haifeng Qian,
2006
.
Sachin S. Sapatnekar,
Mahdi Jamali,
Zhaoxin Liang,
2016,
2016 74th Annual Device Research Conference (DRC).
Kaushik Roy,
Michael Niemier,
Sachin S. Sapatnekar,
2017
.
Sachin S. Sapatnekar,
Chris H. Kim,
Zhengyang Zhao,
2017,
IEEE Journal on Exploratory Solid-State Computational Devices and Circuits.
Sachin S. Sapatnekar,
Qunzeng Liu,
2009
.
Sachin S. Sapatnekar,
Yousef Saad,
Bernard N. Sheehan,
2005
.
Andrew B. Kahng,
Sachin S. Sapatnekar,
Jiang Hu,
2002,
IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems.
Sachin S. Sapatnekar,
Hongliang Chang,
S. Sapatnekar,
2006
.
Sachin S. Sapatnekar,
Jordi Cortadella,
Michael Kishinevsky,
2007,
ICCAD 2007.
Sachin S. Sapatnekar,
Vladimir Zolotov,
Daniel K. Beece,
2017
.