Tor M. Aamodt

发表

Hong Jiang, Hong Wang, Perry H. Wang, 2008, 2008 International Conference on Parallel Architectures and Compilation Techniques (PACT).

Natalie D. Enright Jerger, Patrick Judd, Andreas Moshovos, 2016, 2016 ACM/IEEE 43rd Annual International Symposium on Computer Architecture (ISCA).

Andrew Brownsword, Tor M. Aamodt, Wilson W. L. Fung, 2011, 2011 44th Annual IEEE/ACM International Symposium on Microarchitecture (MICRO).

Tor M. Aamodt, R. David Evans, Lufei Liu, 2020, 2020 ACM/IEEE 47th Annual International Symposium on Computer Architecture (ISCA).

Natalie D. Enright Jerger, Raquel Urtasun, Patrick Judd, 2017, Parallel Comput..

Tor M. Aamodt, Akshay Jain, Timothy G. Rogers, 2018, ArXiv.

Tor M. Aamodt, Xi E. Chen, 2009, 2009 IEEE 15th International Symposium on High Performance Computer Architecture.

Natalie D. Enright Jerger, Raquel Urtasun, Patrick Judd, 2015, ArXiv.

William J. Dally, Tor M. Aamodt, Subhasis Das, 2015, ACM Trans. Archit. Code Optim..

Tor M. Aamodt, Xi E. Chen, 2012, IEEE Transactions on Computers.

Mike O'Connor, Tor M. Aamodt, Timothy G. Rogers, 2013, 2013 46th Annual IEEE/ACM International Symposium on Microarchitecture (MICRO).

Tor M. Aamodt, George L. Yuan, Ali Bakhoda, 2009, 2009 42nd Annual IEEE/ACM International Symposium on Microarchitecture (MICRO).

Tor M. Aamodt, Tayler Hicklin Hetherington, Maria Lubeznov, 2019, 2019 28th International Conference on Parallel Architectures and Compilation Techniques (PACT).

William J. Dally, Tor M. Aamodt, R. Curtis Harting, 2016 .

Dongdong Li, Tor M. Aamodt, Dongdong Li, 2016, IEEE Computer Architecture Letters.

Natalie D. Enright Jerger, Patrick Judd, Zissis Poulos, 2018, Computer.

John Kim, Tor M. Aamodt, Ali Bakhoda, 2013, ACM Trans. Archit. Code Optim..

Tor M. Aamodt, Wilson W. L. Fung, Inderpreet Singh, 2012 .

William J. Dally, Song Han, Tor M. Aamodt, 2015, IEEE Computer Architecture Letters.

Tor M. Aamodt, Timothy G. Rogers, Mahmoud Khairy, 2020, 2020 ACM/IEEE 47th Annual International Symposium on Computer Architecture (ISCA).

Tor M. Aamodt, Shadi Assadikhomami, Jennifer Ongko, 2017, 2017 International Conference on Field Programmable Technology (ICFPT).

William J. Dally, Song Han, Amirali Baniasadi, 2020, IEEE Transactions on Computers.

Tor M. Aamodt, Wilson W. L. Fung, George L. Yuan, 2009, TACO.

Mike O'Connor, Tor M. Aamodt, Timothy G. Rogers, 2014, CACM.

Tor M. Aamodt, Md Aamir Raihan, 2020, NeurIPS.

Tor M. Aamodt, Wilson W. L. Fung, 2013, 2013 46th Annual IEEE/ACM International Symposium on Microarchitecture (MICRO).

Joseph Devietti, Tor M. Aamodt, Timothy G. Rogers, 2020, 2020 53rd Annual IEEE/ACM International Symposium on Microarchitecture (MICRO).

Mike O'Connor, Tor M. Aamodt, Timothy G. Rogers, 2012, 2012 45th Annual IEEE/ACM International Symposium on Microarchitecture.

Tor M. Aamodt, Wilson W. L. Fung, Timothy G. Rogers, 2018 .

William J. Dally, Tor M. Aamodt, Yatish Turakhia, 2017, ArXiv.

Tor M. Aamodt, Johnny J. W. Kuan, 2012, 2012 13th International Workshop on Microprocessor Test and Verification (MTV).

Andreas Moshovos, Paul Chow, Tor M. Aamodt, 2001 .

Tor M. Aamodt, Md Aamir Raihan, Negar Goli, 2018, 2019 IEEE International Symposium on Performance Analysis of Systems and Software (ISPASS).

Andrew Brownsword, Tor M. Aamodt, Wilson W. L. Fung, 2012, IEEE Micro.

Natalie D. Enright Jerger, Alberto Delmas, Patrick Judd, 2018, 2018 16th IEEE International New Circuits and Systems Conference (NEWCAS).

John Kim, Tor M. Aamodt, Ali Bakhoda, 2010, 2010 43rd Annual IEEE/ACM International Symposium on Microarchitecture.

Tor M. Aamodt, 2014, 2014 International Conference on Embedded Computer Systems: Architectures, Modeling, and Simulation (SAMOS XIV).

Joseph Devietti, Mike O'Connor, Tor M. Aamodt, 2013, ASPLOS '13.

Tor M. Aamodt, Ayub A. Gubran, 2019, 2019 ACM/IEEE 46th Annual International Symposium on Computer Architecture (ISCA).

Natalie D. Enright Jerger, Patrick Judd, Sayeh Sharify, 2018, IEEE Micro.

Tor M. Aamodt, Jimmy Kwa, 2012, 2012 International Conference on Field-Programmable Technology.

Tor M. Aamodt, Timothy G. Rogers, Matthew D. Sinclair, 2018, 2019 IEEE International Symposium on Performance Analysis of Systems and Software (ISPASS).

Tor M. Aamodt, Felix Huang, Ayub A. Gubran, 2019, ArXiv.

Tor M. Aamodt, Negar Goli, Negar Goli, 2020, 2020 IEEE/CVF Conference on Computer Vision and Pattern Recognition (CVPR).

Mike O'Connor, Tor M. Aamodt, Tayler H. Hetherington, 2015, SoCC.

Alan J. Hu, Flavio M. de Paula, Marcel Gort, 2012, IEEE Transactions on Very Large Scale Integration (VLSI) Systems.

John Paul Shen, Hong Wang, Paul Chow, 2004, 10th International Symposium on High Performance Computer Architecture (HPCA'04).

Natalie D. Enright Jerger, Patrick Judd, Andreas Moshovos, 2016, ICS.

Tor M. Aamodt, Wilson W. L. Fung, George L. Yuan, 2007, 40th Annual IEEE/ACM International Symposium on Microarchitecture (MICRO 2007).

John Kim, Tor M. Aamodt, Minsoo Rhu, 2020, PACT.

Mike O'Connor, Tor M. Aamodt, Wilson W. L. Fung, 2013, 2013 IEEE 19th International Symposium on High Performance Computer Architecture (HPCA).

William J. Dally, Tor M. Aamodt, Subhasis Das, 2015, 2015 ACM/IEEE 42nd Annual International Symposium on Computer Architecture (ISCA).

Tor M. Aamodt, George L. Yuan, 2011 .

Nam Sung Kim, Jingwen Leng, Vijay Janapa Reddi, 2013, ISCA.

Mike O'Connor, Tor M. Aamodt, Wilson W. L. Fung, 2014, IEEE Micro.

John Kim, Tor M. Aamodt, Ali Bakhoda, 2010, 2010 19th International Conference on Parallel Architectures and Compilation Techniques (PACT).

Andreas Moshovos, Tor M. Aamodt, Vitaly Zakharenko, 2013, 2013 Design, Automation & Test in Europe Conference & Exhibition (DATE).

Tor M. Aamodt, Ahmed ElTantawy, Ahmed Eltantawy, 2018, 2018 IEEE International Symposium on High Performance Computer Architecture (HPCA).

John Paul Shen, Hong Wang, Paul Chow, 2003, SIGMETRICS '03.

Tor M. Aamodt, Ahmed ElTantawy, 2016, 2016 49th Annual IEEE/ACM International Symposium on Microarchitecture (MICRO).

Mike O'Connor, Tor M. Aamodt, Ahmed ElTantawy, 2014, 2014 IEEE 20th International Symposium on High Performance Computer Architecture (HPCA).

Andrew E. Turner, Tor M. Aamodt, Wilson W. L. Fung, 2010, 2010 IEEE International Symposium on Performance Analysis of Systems & Software (ISPASS).

Mike O'Connor, Tor M. Aamodt, Tayler H. Hetherington, 2012, 2012 IEEE International Symposium on Performance Analysis of Systems & Software.

Natalie D. Enright Jerger, Patrick Judd, Andreas Moshovos, 2016, 2016 ACM/IEEE 43rd Annual International Symposium on Computer Architecture (ISCA).

Tor M. Aamodt, 2009, 2009 IEEE Pacific Rim Conference on Communications, Computers and Signal Processing.

William J. Dally, Tor M. Aamodt, Milad Mohammadi, 2017, ACM Trans. Archit. Code Optim..

Tor M. Aamodt, Xi E. Chen, 2008, 2008 41st IEEE/ACM International Symposium on Microarchitecture.

William J. Dally, Tor M. Aamodt, Milad Mohammadi, 2016 .

Tor M. Aamodt, Wilson W. L. Fung, 2011, 2011 IEEE 17th International Symposium on High Performance Computer Architecture.

Mike O'Connor, Tor M. Aamodt, Timothy G. Rogers, 2013, IEEE Micro.

William J. Dally, Tor M. Aamodt, R. Curtis Harting, 2015 .

Henry Wong, Tor M. Aamodt, Wilson W. L. Fung, 2009, 2009 IEEE International Symposium on Performance Analysis of Systems and Software.

Tor M. Aamodt, Wilson W. L. Fung, Timothy G. Rogers, 2018, General-Purpose Graphics Processor Architectures.

Tor M. Aamodt, Akshay Jain, Timothy G. Rogers, 2019, 2019 IEEE International Symposium on Performance Analysis of Systems and Software (ISPASS).

Steven J. E. Wilton, Tor M. Aamodt, Johnny J. W. Kuan, 2010, 2010 11th International Symposium on Quality Electronic Design (ISQED).

Karthik Pattabiraman, Tor M. Aamodt, Deval Shah, 2021, ArXiv.

Nikos Hardavellas, Tor M. Aamodt, Timothy G. Rogers, 2021 .

Nikolaos Hardavellas, Tor M. Aamodt, Timothy G. Rogers, 2021, MICRO.

Tor M. Aamodt, Francois Demoullin, Lufei Liu, 2021, MICRO.

Paul Chow, Per Hammarlund, Tor M. Aamodt, 2002 .

Tor M. Aamodt, Xi E. Chen, Tor Aamodt, 2008 .

Shahriar Mirabbasi, Tor M. Aamodt, Owen Kirby, 2008 .

Tor M. Aamodt, Tayler H. Hetherington, Alberto Delmas Lascorz, 2018 .

Tor M. Aamodt, Ayub A. Gubran, 2019, Proceedings of the 46th International Symposium on Computer Architecture.

Tor M. Aamodt, W. Dally, Subhasis Das, 2015 .

Tor M. Aamodt, O. D. Matteo, G. Uchehara, 2022, 2022 IEEE/ACM Third International Workshop on Quantum Computing Software (QCS).

Tor M. Aamodt, Md Aamir Raihan, 2020, NeurIPS.

Tor M. Aamodt, Yuan Chou, Lufei Liu, 2022, 2022 55th IEEE/ACM International Symposium on Microarchitecture (MICRO).

Tor M. Aamodt, Timothy G. Rogers, Mahmoud Khairy, 2018, 2020 ACM/IEEE 47th Annual International Symposium on Computer Architecture (ISCA).

Tor M. Aamodt, K. Pattabiraman, Deval Shah, 2023, ACM Transactions on Cyber-Physical Systems.

Natalie D. Enright Jerger, Tor M. Aamodt, Tayler H. Hetherington, 2023 .

Tor M. Aamodt, Zihui Xue, Deval Shah, 2022, ICLR.