Janmartin Jahn

发表

Jörg Henkel, Thomas Ebi, Janmartin Jahn, 2011, Organic Computing.

Jörg Henkel, Jian-Jia Chen, Santiago Pagani, 2013, 2013 50th ACM/EDAC/IEEE Design Automation Conference (DAC).

Nikil D. Dutt, Bryan Donyanavard, Hossein Tajik, 2016, ACM Trans. Embed. Comput. Syst..

Jörg Henkel, Janmartin Jahn, J. Henkel, 2013, 2013 Design, Automation & Test in Europe Conference & Exhibition (DATE).

Jörg Henkel, Jian-Jia Chen, Waqaas Munawar, 2011, MARC Symposium.

Jörg Henkel, Jian-Jia Chen, Santiago Pagani, 2012, MARC Symposium.

Jörg Henkel, Jian-Jia Chen, Santiago Pagani, 2015, ACM Trans. Parallel Comput..

Jörg Henkel, Jian-Jia Chen, Santiago Pagani, 2013, 2013 IEEE/ACM International Conference on Computer-Aided Design (ICCAD).

Mohammad Abdullah Al Faruque, Jörg Henkel, Janmartin Jahn, 2010, IEEE Design & Test of Computers.

Jörg Henkel, Mohammad Abdullah Al Faruque, Janmartin Jahn, 2011, 2011 Design, Automation & Test in Europe.