Daisaburo Takashima

发表

Yukihito Oowaki, Kazunori Ohuchi, Daisaburo Takashima, 1994 .

Daisaburo Takashima, Kazuhiro Shimazaki, Masato Endo, 2019, IEEE Journal of Solid-State Circuits.

Tohru Ozaki, Yuki Yamada, Tohru Furuyama, 2010, IEEE Journal of Solid-State Circuits.

Tohru Ozaki, Yuki Yamada, Tohru Furuyama, 2009, 2009 IEEE International Solid-State Circuits Conference - Digest of Technical Papers.

Yukihito Oowaki, Daisaburo Takashima, Kazuya Ohuchi, 1998 .

Daisaburo Takashima, Hidehiro Shiga, Ryu Ogiwara, 2010, 2010 IEEE Asian Solid-State Circuits Conference.

Shigeyoshi Watanabe, Yukihito Oowaki, Daisaburo Takashima, 1991, Proceedings of the IEEE 1991 Custom Integrated Circuits Conference.

Yukihito Oowaki, Daisaburo Takashima, Shigeyoshi Watanabe, 1992 .

Daisaburo Takashima, Hiroshi Sukegawa, Noboru Shibata, 2011 .

Tohru Ozaki, Daisaburo Takashima, Yasushi Nagadomi, 2010, 2010 Symposium on VLSI Circuits.

Yukihito Oowaki, Daisaburo Takashima, Kenji Tsuchida, 1990 .

Y. Oowaki, Daisaburo Takashima, 1997 .

Shigeyoshi Watanabe, Tohru Ozaki, Yukihito Oowaki, 1994, Proceedings of 1994 IEEE Symposium on VLSI Circuits.

Daisaburo Takashima, H. Nakano, 2002 .

Tohru Ozaki, Akihiro Nitayama, Ryo Fukuda, 2011, IEEE Journal of Solid-State Circuits.

Daisaburo Takashima, Yohji Watanabe, Yasushi Nagadomi, 2009, 2009 IEEE Asian Solid-State Circuits Conference.

Daisaburo Takashima, Shinichiro Shiratake, Hidehiro Shiga, 2015, IEEE Journal of Solid-State Circuits.

Daisaburo Takashima, Hiroshi Sukegawa, Noboru Shibata, 2011, 2011 IEEE International Solid-State Circuits Conference.

Daisaburo Takashima, Yohji Watanabe, Yasushi Nagadomi, 2011, IEEE Journal of Solid-State Circuits.

Tohru Ozaki, Daisaburo Takashima, Yasushi Nagadomi, 2011, IEEE Journal of Solid-State Circuits.

Daisaburo Takashima, Kazuhiro Shimazaki, Masato Endo, 2015, 2015 IEEE Asian Solid-State Circuits Conference (A-SSCC).

Yukihito Oowaki, Daisaburo Takashima, Shigeyoshi Watanabe, 1991, 1991 Symposium on VLSI Circuits.

Shigeyoshi Watanabe, Fumio Horiguchi, Yukihito Oowaki, 1991, 1991 IEEE International Solid-State Circuits Conference. Digest of Technical Papers.

Tohru Ozaki, Akihiro Nitayama, Ryo Fukuda, 2010, 2010 IEEE International Solid-State Circuits Conference - (ISSCC).

Daisaburo Takashima, Hiroshi Sukegawa, Noboru Shibata, 2012, IEEE Journal of Solid-State Circuits.

Yukihito Oowaki, Fujio Masuoka, Daisaburo Takashima, 1990 .

Daisaburo Takashima, D. Takashima, 2011, 2011 11th Annual Non-Volatile Memory Technology Symposium Proceeding.

Shigeyoshi Watanabe, Kazunori Ohuchi, Daisaburo Takashima, 1992 .

Yukihito Oowaki, Daisaburo Takashima, Susumu Shuto, 1999 .

Fumio Horiguchi, Yukihito Oowaki, Shigeyoshi Watanabe, 1991 .