Li Shang

发表

Li Shang, Yan Zhou, Pingang Su, 2014, Neurocomputing.

Li Shang, Niraj K. Jha, Anand Raghunathan, 2003, GLSVLSI '03.

Li Shang, Marilyn Wolf, Robert P. Dick, 2020, IEEE Design & Test.

Li Shang, Robert P. Dick, Yonghong Yang, 2007, IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems.

Li Shang, Qi Liu, Qin Lv, 2018, 2018 IEEE 16th International Conference on Industrial Informatics (INDIN).

Liang Yin, Li Shang, Qiang Wang, 2012, Proceedings of the 21st International Conference on Pattern Recognition (ICPR2012).

Yu Zhang, Li Shang, Jie Chen, 2007, ISNN.

Li Shang, Prabhat Kumar, Alok N. Choudhary, 2008, 2008 IEEE/ACM International Conference on Computer-Aided Design.

Li Shang, Wenjun Huai, 2010, ICIC.

Li Shang, Robert P. Dick, Jia Wang, 2006, Asia and South Pacific Conference on Design Automation, 2006..

Li Shang, Robert P. Dick, Zhenyu Gu, 2007, 2007 5th IEEE/ACM/IFIP International Conference on Hardware/Software Codesign and System Synthesis (CODES+ISSS).

Li Shang, L. Shang, 2008, Appl. Math. Comput..

Li Shang, Zheng Li, Manish Vachharajani, 2009, 2009 46th ACM/IEEE Design Automation Conference.

Li Shang, David M. Brooks, Russ Joseph, 2007, IEEE Micro.

Li Shang, Yang Liu, Xia Chen, 2017, Neurocomputing.

Wei Zhang, Li Shang, Niraj K. Jha, 2007, 2007 44th ACM/IEEE Design Automation Conference.

Li Shang, Nicholas Allec, Robert G. Knobel, 2010, IEEE Transactions on Very Large Scale Integration (VLSI) Systems.

Li Shang, Nicholas Allec, Robert G. Knobel, 2008, 2008 Design, Automation and Test in Europe.

Li Shang, Niraj K. Jha, 2001, Proceedings 2001 IEEE International Conference on Computer Design: VLSI in Computers and Processors. ICCD 2001.

Kun Li, Li Shang, Lei Tian, 2011, UbiComp '11.

Li Shang, Xin Wang, Can Wang, 2015, Neural Processing Letters.

Li Shang, Pingang Su, Guiping Dai, 2010, ICIC.

Li Shang, Niraj K. Jha, Amit Kumar, 2004, 37th International Symposium on Microarchitecture (MICRO-37'04).

Xi Chen, Lei Yang, Li Shang, 2010, IEEE Transactions on Very Large Scale Integration (VLSI) Systems.

Li Shang, Nikil D. Dutt, Robert P. Dick, 2013, TECS.

Fan Yang, Yinghai Lu, Li Shang, 2009, 2009 46th ACM/IEEE Design Automation Conference.

Xi Chen, Li Shang, Zheng Li, 2011, 2011 Proceedings of the Ninth IEEE/ACM/IFIP International Conference on Hardware/Software Codesign and System Synthesis (CODES+ISSS).

Li Shang, Robert P. Dick, Changyun Zhu, 2009, IEEE Transactions on Very Large Scale Integration (VLSI) Systems.

Li Shang, Robert P. Dick, Zhenyu Gu, 2007, 2007 44th ACM/IEEE Design Automation Conference.

Li Shang, Niraj K. Jha, Robert P. Dick, 2007, IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems.

Li Shang, Yan Zhou, Zhan-Li Sun, 2017, ICIC.

Kun Li, James Williamson, Li Shang, 2017, IEEE Transactions on Mobile Computing.

Li Shang, Chao Chen, Junchi Yan, 2018, ArXiv.

Li Shang, Tun Lu, Ning Gu, 2012, Knowl. Based Syst..

Li Shang, Yong Gan, Hao-Dong Zhu, 2014, ICIC.

Li Shang, Yan Zhou, Tao Liu, 2014, Neurocomputing.

Li Shang, Robert P. Dick, Qin Lv, 2013, 2013 IEEE International Conference on Distributed Computing in Sensor Systems.

Li Shang, Niraj K. Jha, Amit Kumar, 2008, IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems.

Li Shang, De-Shuang Huang, Chun-Hou Zheng, 2006, Neurocomputing.

Li Shang, Ke Meng, Russ Joseph, 2008, 2008 International Conference on Parallel Architectures and Compilation Techniques (PACT).

Li Shang, Chao Chen, Junchi Yan, 2016, ICML.

Li Shang, Alireza Kaviani, Kusuma Bathala, 2002, FPGA '02.

Li Shang, Tun Lu, Ning Gu, 2011, 2011 IEEE/WIC/ACM International Conferences on Web Intelligence and Intelligent Agent Technology.

Li Shang, Robert P. Dick, Nicholas Allec, 2008, 2008 IEEE/ACM International Conference on Computer-Aided Design.

Li Shang, Yong Gan, Jing-Hua Yuan, 2014, ICIC.

Li Shang, De-Shuang Huang, Zhan-Li Sun, 2005, Neurocomputing.

Li Shang, Zhen Shen, Xiaoping Zhang, 2014, ICIC.

Li Shang, Qianfan Xu, Qianfan Xu, 2011, JETC.

Gang Wang, Li Shang, Xiao-Ping Zhang, 2016, 2016 International Joint Conference on Neural Networks (IJCNN).

Li Shang, Weiguo Huang, Jianyu Yang, 2014, 2014 IEEE International Conference on Robotics and Biomimetics (ROBIO 2014).

Li Shang, Hai Zhou, Qiang Zhou, 2007, 2007 IEEE/ACM International Conference on Computer-Aided Design.

Jie Wu, Li Shang, Zheng Li, 2009, ISLPED.

Li Shang, Robert P. Dick, Chong Sun, 2007, 2007 5th IEEE/ACM/IFIP International Conference on Hardware/Software Codesign and System Synthesis (CODES+ISSS).

Li Shang, Chao Chen, Tun Lu, 2016, Future Gener. Comput. Syst..

Kun Li, Li Shang, Robert P. Dick, 2009, MobiSys '09.

Kun Li, Li Shang, Robert P. Dick, 2013, Personal and Ubiquitous Computing.

Li Shang, Xiaobo Sharon Hu, Robert P. Dick, 2010, 2010 IEEE/ACM/IFIP International Conference on Hardware/Software Codesign and System Synthesis (CODES+ISSS).

Li Shang, Chang-an Yuan, Zhihao Jiao, 2019, ICIC.

Li Shang, De-Shuang Huang, Ji-Xiang Du, 2006, Neurocomputing.

Li Shang, Robert P. Dick, Qin Lv, 2012, 2012 ACM/IEEE 11th International Conference on Information Processing in Sensor Networks (IPSN).

Li Shang, Li-Shiuan Peh, Noel Eisley, 2006, 2006 39th Annual IEEE/ACM International Symposium on Microarchitecture (MICRO'06).

Li Shang, Qi Liu, Qin Lv, 2017, 2017 IEEE 15th International Conference on Industrial Informatics (INDIN).

Xi Chen, Lei Yang, Li Shang, 2008, Data Compression Conference (dcc 2008).

Kun Li, Li Shang, Hai Zhou, 2010, 2010 ACM/IEEE International Symposium on Low-Power Electronics and Design (ISLPED).

Li Shang, Niraj K. Jha, Li-Shiuan Peh, 2003, The Ninth International Symposium on High-Performance Computer Architecture, 2003. HPCA-9 2003. Proceedings..

Li Shang, Niraj K. Jha, Wei Zhang, 2011 .

Li Shang, Robert P. Dick, Zhenyu Gu, 2008, IEEE Transactions on Very Large Scale Integration (VLSI) Systems.

Jie Wu, Kun Li, Li Shang, 2010, 2010 ACM/IEEE International Symposium on Low-Power Electronics and Design (ISLPED).

Li Shang, Weiguo Huang, Jianyu Yang, 2015, IET Comput. Vis..

Li Shang, De-Shuang Huang, Zhan-Li Sun, 2006, Neurocomputing.

Kun Li, Li Shang, Lei Tian, 2013, AI Mag..

Li Shang, Weiguo Huang, Jin Tao, 2013, 2013 IEEE International Conference on Robotics and Biomimetics (ROBIO).

Li Shang, Yong Gan, Hao-Dong Zhu, 2014, ICIC.

Li Shang, Niraj K. Jha, Amit Kumar, 2006, 2006 43rd ACM/IEEE Design Automation Conference.

Li Shang, De-Shuang Huang, Zhan-Li Sun, 2006, Neurocomputing.

Li Shang, Lide Zhang, Russ Joseph, 2009, 2009 46th ACM/IEEE Design Automation Conference.

Li Shang, Heping Zhang, Li Shang, 2007, Networks.

Li Shang, Huazhong Yang, Robert P. Dick, 2007, 2007 Design, Automation & Test in Europe Conference & Exhibition.

Xi Chen, Li Shang, Zheng Li, 2010, 2010 ACM/IEEE International Symposium on Low-Power Electronics and Design (ISLPED).

Xi Chen, Li Shang, Zheng Li, 2012, IEEE Transactions on Very Large Scale Integration (VLSI) Systems.

Jie Wu, Li Shang, Zheng Li, 2009, 2009 Design, Automation & Test in Europe Conference & Exhibition.

Li Shang, Zhi-Kai Huang, Chang-An Yuan, 2019, ICIC.

Li Shang, Huazhong Yang, Hui Wang, 2007, 8th International Symposium on Quality Electronic Design (ISQED'07).

Li Shang, Qin Lv, Hansu Gu, 2011, 2011 IEEE/WIC/ACM International Conferences on Web Intelligence and Intelligent Agent Technology.

Li Shang, Li-Shiuan Peh, Noel Eisley, 2008, 2008 International Conference on Parallel Architectures and Compilation Techniques (PACT).

Li Shang, Niraj K. Jha, Li-Shiuan Peh, 2006, IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems.

Fan Yang, Li Shang, Xuan Zeng, 2011, IEEE Transactions on Very Large Scale Integration (VLSI) Systems.

Li Shang, Feng-Wen Cao, L. Shang, 2006, Neural Processing Letters.

Li Shang, Xin Wang, Zhan-Li Sun, 2016, Neurocomputing.

Yinghai Lu, Li Shang, Hai Zhou, 2009, 2009 46th ACM/IEEE Design Automation Conference.

Yinghai Lu, Li Shang, Hai Zhou, 2010, IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems.

Li Shang, Niraj K. Jha, Li-Shiuan Peh, 2002, IEEE Computer Architecture Letters.

Li Shang, Pingang Su, Wenjun Huai, 2012, ICIC.

Li Shang, Heping Zhang, 2013, Discret. Appl. Math..

Li Shang, Hongyu Zhou, Zheng Li, 2010, IEEE Design & Test of Computers.

Li Shang, Robert P. Dick, Yonghong Yang, 2006, Proceedings of the Design Automation & Test in Europe Conference.

Li Shang, Ming Cui, Jie Chen, 2011, ICIC.

Li Shang, Dirk Grunwald, Dan Fay, 2009, CASES '09.

Jie Wu, Kun Li, Li Shang, 2013, IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems.

Li Shang, Chang-an Yuan, Zhi-Kai Huang, 2019, ICIC.

Li Shang, Zhi-Kai Huang, Qinhu Zhang, 2019, ICIC.

Li Shang, Xiao-Ping Zhang, Xiaobo Zhou, 2015, ICIC.

Xi Chen, Li Shang, Robert P. Dick, 2010, 2010 Design, Automation & Test in Europe Conference & Exhibition (DATE 2010).

Li Shang, De-Shuang Huang, De-shuang Huang, 2005, 2005 IEEE Computer Society Conference on Computer Vision and Pattern Recognition (CVPR'05).

Li Shang, Qin Lv, Dongsheng Li, 2018, 2018 IEEE 16th International Conference on Industrial Informatics (INDIN).

Wei Zhang, James Williamson, Li Shang, 2011, Low-Power Variation-Tolerant Design in Nanometer Silicon.

Li Shang, Qi Liu, Qin Lv, 2017, 2017 IEEE International Geoscience and Remote Sensing Symposium (IGARSS).

Li Shang, Robert P. Dick, Nicholas Allec, 2009, IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems.

Xi Chen, Li Shang, Zheng Li, 2011, 2011 48th ACM/EDAC/IEEE Design Automation Conference (DAC).

Li Shang, Zhan-Li Sun, Ya-Ping Wang, 2014, ICIC.

Li Shang, Russ Joseph, Robert P. Dick, 2008, IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems.

Kun Li, James Williamson, Li Shang, 2015, The 20th Asia and South Pacific Design Automation Conference.

Li Shang, Naraig Manjikian, Assem A. M. Bsoul, 2010, 2010 Design, Automation & Test in Europe Conference & Exhibition (DATE 2010).

Li Shang, Feng Wang, Zhifeng Hu, 2010, ICIC.

Gang Wang, Li Shang, Xiao-Ping Zhang, 2016, ICIC.

Wei Zhang, Li Shang, Niraj K. Jha, 2006, 2006 43rd ACM/IEEE Design Automation Conference.

Li Shang, Niraj K. Jha, N. Jha, 2002, Proceedings of ASP-DAC/VLSI Design 2002. 7th Asia and South Pacific Design Automation Conference and 15h International Conference on VLSI Design.

Li Shang, Zheng Li, Robert P. Dick, 2008, IEEE Computer Architecture Letters.

Li Shang, Yong Gan, Qing Xia, 2014, ICIC.

Li Shang, Moustafa Mohamed, L. Shang, 2014, Applied optics.