Murugan Sankaradass

发表

Srihari Cadambi, Srimat T. Chakradhar, Murugan Sankaradass, 2010, ISCA.

Niraj K. Jha, Anand Raghunathan, Srivaths Ravi, 2007 .

Giuseppe Coviello, Srihari Cadambi, Srimat T. Chakradhar, 2013, HPDC '13.

Srihari Cadambi, Srimat T. Chakradhar, Igor Durdanovic, 2009, 2009 17th IEEE Symposium on Field Programmable Custom Computing Machines.

Srivaths Ravi, Niraj K. Jha, Anand Raghunathan, 2007, IEEE Transactions on Very Large Scale Integration (VLSI) Systems.

Jörg Henkel, Srimat T. Chakradhar, Murugan Sankaradass, 2003, Proceedings 2003. Design Automation Conference (IEEE Cat. No.03CH37451).

Srivaths Ravi, Anand Raghunathan, Murugan Sankaradass, 2002, DAC '02.

Srivaths Ravi, Niraj K. Jha, Anand Raghunathan, 2006, 2006 43rd ACM/IEEE Design Automation Conference.

Srihari Cadambi, Srimat T. Chakradhar, Igor Durdanovic, 2008, NIPS.

Srihari Cadambi, Srimat T. Chakradhar, Igor Durdanovic, 2009, 2009 20th IEEE International Conference on Application-specific Systems, Architectures and Processors.

Murugan Sankaradass, V. Jaiganesh, M. Sankaradass, 2005, Circuits, Signals, and Systems.

Giuseppe Coviello, Srimat T. Chakradhar, Murugan Sankaradass, 2021, IDC.

Srimat T. Chakradhar, Murugan Sankaradass, Kunal Rao, 2021, ArXiv.

Srimat T. Chakradhar, Murugan Sankaradass, Kunal Rao, 2021, 2021 12th International Conference on Network of the Future (NoF).

Giuseppe Coviello, Srimat T. Chakradhar, Wang-Pin Hsiung, 2021, 2021 IEEE International Conference on Smart Computing (SMARTCOMP).