Jeremie S. Kim

发表

Onur Mutlu, Juan Gómez-Luna, Saugata Ghose, 2019, ArXiv.

Onur Mutlu, Saugata Ghose, Hasan Hassan, 2018, 2018 51st Annual IEEE/ACM International Symposium on Microarchitecture (MICRO).

Onur Mutlu, Hasan Hassan, Jeremie S. Kim, 2020, 2020 53rd Annual IEEE/ACM International Symposium on Microarchitecture (MICRO).

Onur Mutlu, Saugata Ghose, Abdullah Giray Yaglikçi, 2019, 2019 ACM/IEEE 46th Annual International Symposium on Computer Architecture (ISCA).

Rachata Ausavarungnirun, Onur Mutlu, Saugata Ghose, 2020, 2020 53rd Annual IEEE/ACM International Symposium on Microarchitecture (MICRO).

Onur Mutlu, Jeremie S. Kim, O. Mutlu, 2019, IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems.

Onur Mutlu, Hasan Hassan, Jeremie S. Kim, 2018, 2019 IEEE International Symposium on High Performance Computer Architecture (HPCA).

Avi Mendelson, Onur Mutlu, Anupam Chattopadhyay, 2020, 2020 53rd Annual IEEE/ACM International Symposium on Microarchitecture (MICRO).

Onur Mutlu, Hasan Hassan, Jeremie S. Kim, 2019, 2019 49th Annual IEEE/IFIP International Conference on Dependable Systems and Networks (DSN).

Onur Mutlu, Hasan Hassan, Jeremie S. Kim, 2020, 2020 ACM/IEEE 47th Annual International Symposium on Computer Architecture (ISCA).

Yang Guo, Onur Mutlu, Saugata Ghose, 2020, 2020 53rd Annual IEEE/ACM International Symposium on Microarchitecture (MICRO).

Onur Mutlu, Hasan Hassan, Jeremie S. Kim, 2018, 2018 IEEE International Symposium on High Performance Computer Architecture (HPCA).

Onur Mutlu, Juan Gómez-Luna, Saugata Ghose, 2019, IBM J. Res. Dev..

Onur Mutlu, Jeremie S. Kim, Minesh Patel, 2017, 2017 ACM/IEEE 44th Annual International Symposium on Computer Architecture (ISCA).

Onur Mutlu, Saugata Ghose, Abdullah Giray Yaglikçi, 2021, International Symposium on High-Performance Computer Architecture.

Onur Mutlu, Jeremie S. Kim, Lois Orosa, 2021, 2022 55th IEEE/ACM International Symposium on Microarchitecture (MICRO).

Onur Mutlu, Abdullah Giray Yaglikçi, Jeremie S. Kim, 2021, ArXiv.

Onur Mutlu, Juan Gómez-Luna, Jeremie S. Kim, 2021, 2021 ACM/IEEE 48th Annual International Symposium on Computer Architecture (ISCA).

Onur Mutlu, Oguz Ergin, Abdullah Giray Yaglikçi, 2021, 2021 ACM/IEEE 48th Annual International Symposium on Computer Architecture (ISCA).

Onur Mutlu, Yaohua Wang, Saugata Ghose, 2021, 2021 ACM/IEEE 48th Annual International Symposium on Computer Architecture (ISCA).

Onur Mutlu, Todd C. Mowry, Phillip B. Gibbons, 2017, 2017 50th Annual IEEE/ACM International Symposium on Microarchitecture (MICRO).

Chris Fallin, Onur Mutlu, Chris Wilkerson, 2014, 2014 ACM/IEEE 41st International Symposium on Computer Architecture (ISCA).

Jeremie S. Kim, Stefan W. Tell, M. Alser, 2022, Frontiers in Public Health.

Jeremie S. Kim, O. Mutlu, Hasan Hassan, 2022, 2022 IEEE International Symposium on High-Performance Computer Architecture (HPCA).

Jeremie S. Kim, Damla Senol Cali, Kamlesh R. Pillai, 2022, ArXiv.

Jeremie S. Kim, O. Mutlu, Minesh Patel, 2022, 2022 52nd Annual IEEE/IFIP International Conference on Dependable Systems and Networks (DSN).

Jeremie S. Kim, O. Mutlu, Minesh Patel, 2021, 2021 IEEE International Symposium on High-Performance Computer Architecture (HPCA).

Jeremie S. Kim, Damla Senol Cali, Nika Mansouri Ghiasi, 2022, Proceedings of the 49th Annual International Symposium on Computer Architecture.

Jeremie S. Kim, Damla Senol Cali, O. Mutlu, 2022, 2022 IEEE Computer Society Annual Symposium on VLSI (ISVLSI).

Jeremie S. Kim, João Dinis Ferreira, Geraldo F. Oliveira, 2021, ArXiv.

Jeremie S. Kim, Phillip B. Gibbons, Vivek Seshadri, 2017, Proceedings of the 50th Annual IEEE/ACM International Symposium on Microarchitecture.

Jeremie S. Kim, Damla Senol Cali, Nika Mansouri Ghiasi, 2021, bioRxiv.

Jeremie S. Kim, O. Mutlu, Y. Sazeides, 2022, 2022 55th IEEE/ACM International Symposium on Microarchitecture (MICRO).

Onur Mutlu, Saugata Ghose, Jeremie S. Kim, 2020, IEEE Micro.

Jeremie S. Kim, Damla Senol Cali, Nika Mansouri Ghiasi, 2021, bioRxiv.

Onur Mutlu, Oguz Ergin, Saugata Ghose, 2017, 1708.04329.

Jeremie S. Kim, O. Mutlu, Minesh Patel, 2017 .

Efraim Rotem, Onur Mutlu, Yiannakis Sazeides, 2021, 2022 IEEE International Symposium on High-Performance Computer Architecture (HPCA).

Onur Mutlu, Abdullah Giray Yaglikçi, Nandita Vijaykumar, 2020, 2020 ACM/IEEE 47th Annual International Symposium on Computer Architecture (ISCA).

Jeremie S. Kim, O. Mutlu, Minesh Patel, 2020, 2020 53rd Annual IEEE/ACM International Symposium on Microarchitecture (MICRO).

Onur Mutlu, Hasan Hassan, Jeremie S. Kim, 2018, 2018 IEEE 36th International Conference on Computer Design (ICCD).

Jeremie S. Kim, O. Mutlu, Minesh Patel, 2022, ArXiv.

Jeremie S. Kim, Nika Mansouri Ghiasi, O. Mutlu, 2019, Proceedings of the 46th International Symposium on Computer Architecture.

Alec Wolman, Stefan Saroiu, Onur Mutlu, 2020, 2020 IEEE Symposium on Security and Privacy (SP).

Onur Mutlu, Juan Gómez-Luna, Saugata Ghose, 2018, 2018 ACM/IEEE 45th Annual International Symposium on Computer Architecture (ISCA).

Jeremie S. Kim, Damla Senol Cali, Nika Mansouri Ghiasi, 2021, bioRxiv.

Chris Fallin, Onur Mutlu, Chris Wilkerson, 2016, ArXiv.

Jeremie S. Kim, Damla Senol Cali, C. Alkan, 2022, Bioinform..

Onur Mutlu, Oguz Ergin, Abdullah Giray Yaglikçi, 2022, International Symposium on High-Performance Computer Architecture.

Jeremie S. Kim, Damla Senol Cali, C. Alkan, 2020, IEEE Micro.

Jeremie S. Kim, Damla Senol Cali, Kamlesh R. Pillai, 2022, ACM Transactions on Architecture and Code Optimization (TACO).

Jeremie S. Kim, Damla Senol Cali, C. Alkan, 2022, Bioinform..