Abhayendra Singh

发表

Satish Narayanasamy, Daniel Marino, Todd D. Millstein, 2013, IEEE Micro.

Yves Le Traon, John Micco, Mike Papadakis, 2019, 2019 IEEE/ACM 41st International Conference on Software Engineering: Software Engineering in Practice (ICSE-SEIP).

Satish Narayanasamy, Shaizeen Aga, Abhayendra Singh, 2015, ICS.

Satish Narayanasamy, Daniel Marino, Todd D. Millstein, 2016, ACM Trans. Program. Lang. Syst..

Satish Narayanasamy, Daniel Marino, Todd D. Millstein, 2011, ASPLOS XVI.

Satish Narayanasamy, Daniel Marino, Todd D. Millstein, 2010, PLDI '10.

Satish Narayanasamy, Daniel Marino, Todd D. Millstein, 2015, SNAPL.

Satish Narayanasamy, Daniel Marino, Todd D. Millstein, 2011, PLDI '11.

Sumit Ganguly, Abhayendra Singh, Satyam Shankar, 2008, SSDBM.

Satish Narayanasamy, Shaizeen Aga, Abhayendra Singh, 2015, 2015 48th Annual IEEE/ACM International Symposium on Microarchitecture (MICRO).

Satish Narayanasamy, Daniel Marino, Todd D. Millstein, 2012, 2012 39th Annual International Symposium on Computer Architecture (ISCA).