Ramesh Karri

发表

Sachhidh Kannan, Jeyavijayan Rajendran, Ramesh Karri, 2012, 2012 IEEE 30th International Conference on Computer Design (ICCD).

Robert Wille, Rolf Drechsler, Ramesh Karri, 2018, 2018 IEEE/ACM International Conference on Computer-Aided Design (ICCAD).

Michail Maniatakos, Ramesh Karri, Charalambos Konstantinou, 2015, 2015 IEEE/ACM International Conference on Computer-Aided Design (ICCAD).

Ramesh Karri, Panganamala Ramana Kumar, Satish T. S. Bukkapatnam, 2020, ArXiv.

Ramesh Karri, Ozgur Sinanoglu, Subidh Ali, 2015, 2015 IEEE International Symposium on Circuits and Systems (ISCAS).

Ramesh Karri, Siddharth Garg, Kang Liu, 2020, 2020 Design, Automation & Test in Europe Conference & Exhibition (DATE).

Ramesh Karri, Kaijie Wu, Piyush Mishra, 2001, Proceedings 2001 IEEE International Symposium on Defect and Fault Tolerance in VLSI Systems.

Ramesh Karri, Xueyang Wang, R. Karri, 2016, IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems.

Ramesh Karri, Xueyang Wang, Sek Chai, 2016, ACM Trans. Archit. Code Optim..

Jeyavijayan Rajendran, Ramesh Karri, Chenglu Jin, 2020, ArXiv.

Ramesh Karri, Ozgur Sinanoglu, Subidh Ali, 2014, 2014 22nd International Conference on Very Large Scale Integration (VLSI-SoC).

Jeyavijayan Rajendran, Ramesh Karri, Farinaz Koushanfar, 2013, 2013 IEEE/ACM International Conference on Computer-Aided Design (ICCAD).

Ramesh Karri, Alex Orailoglu, A. Orailoglu, 1992, [1992] Proceedings of the 35th Midwest Symposium on Circuits and Systems.

Ramesh Karri, Sateesh Addepalli, Arun K. Kanuparthi, 2012, 2012 IEEE Computer Society Annual Symposium on VLSI.

Ramesh Karri, Piyush Mishra, 2004 .

Ramesh Karri, Farinaz Koushanfar, 2014, Proc. IEEE.

Nilanjan Mukherjee, Ramesh Karri, Tapan J. Chakraborty, 1999, IEEE Commun. Mag..

Miodrag Potkonjak, Ramesh Karri, Kyosun Kim, 1997, DAC.

Ramesh Karri, Ozgur Sinanoglu, Yiorgos Makris, 2013, ETS 2013.

Martin Lukasiewycz, Philipp Mundhenk, Ramesh Karri, 2017, IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems.

Ramesh Karri, Piyush Mishra, 2003, GLOBECOM '03. IEEE Global Telecommunications Conference (IEEE Cat. No.03CH37489).

Ahmad-Reza Sadeghi, Ramesh Karri, Ozgur Sinanoglu, 2015, IEEE Trans. Comput. Aided Des. Integr. Circuits Syst..

Miodrag Potkonjak, Ramesh Karri, Kyosun Kim, 1996, Proceedings of International Conference on Computer Aided Design.

Ramesh Karri, Jerry Backer, David Hély, 2014, 2014 IEEE International Symposium on Defect and Fault Tolerance in VLSI and Nanotechnology Systems (DFT).

Ramesh Karri, Siddharth Garg, Kaijie Wu, 2019, IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems.

Ramesh Karri, Xiaofei Guo, 2014, J. Electron. Test..

Ramesh Karri, Najwa Aaraj, Kanad Basu, 2021 .

Ramesh Karri, Alex Orailoglu, R. Karri, 1996, IEEE Trans. Computers.

Miodrag Potkonjak, Ramesh Karri, Kyosun Kim, 1996, ICCAD 1996.

Ramesh Karri, Aurobindo Dasgupta, 1996, DAC '96.

Ramesh Karri, Kanad Basu, Mohammed Shayan, 2020, ArXiv.

Ramesh Karri, Ozgur Sinanoglu, Muhammad Yasin, 2016, IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems.

Ramesh Karri, Siddharth Garg, Farshad Khorrami, 2020, 2020 IEEE European Test Symposium (ETS).

Ramesh Karri, Mohammed Nabeel, Deepraj Soni, 2019, IACR Cryptol. ePrint Arch..

Ramesh Karri, Krishnendu Chakrabarty, Tung-Che Liang, 2020, IEEE Transactions on Very Large Scale Integration (VLSI) Systems.

Jeyavijayan Rajendran, Ramesh Karri, Ozgur Sinanoglu, 2011, 29th VLSI Test Symposium.

Ramesh Karri, A. L. Narasimha Reddy, Priyanka Mahesh, 2020, Proceedings of the IEEE.

Ramesh Karri, Ozgur Sinanoglu, Subidh Ali, 2013, 2013 IFIP/IEEE 21st International Conference on Very Large Scale Integration (VLSI-SoC).

Ramesh Karri, Alex Orailoglu, R. Karri, 1992, Proceedings 1992 IEEE International Conference on Computer Design: VLSI in Computers & Processors.

Ramesh Karri, David J. Goodman, D. Goodman, 2002 .

Miodrag Potkonjak, Ramesh Karri, Kyosun Kim, 2000, IEEE Trans. Computers.

Ramesh Karri, Nasir Memon, Vikram Padman, 2009 .

Miodrag Potkonjak, Ramesh Karri, Inki Hong, 1998, Proceedings of 1998 Asia and South Pacific Design Automation Conference.

Miodrag Potkonjak, Ramesh Karri, Kyosun Kim, 1998 .

Ramesh Karri, Piyush Mishra, 2002, 2002 IEEE International Conference on Communications. Conference Proceedings. ICC 2002 (Cat. No.02CH37333).

Partha Pratim Pande, Ramesh Karri, Krishnendu Chakrabarty, 2018, 2018 Twelfth IEEE/ACM International Symposium on Networks-on-Chip (NOCS).

Miodrag Potkonjak, Ramesh Karri, Inki Hong, 2004, IEEE Transactions on Reliability.

Ramesh Karri, Prashanth Krishnamurthy, Farshad Khorrami, 2016, IEEE Design & Test.

Debdeep Mukhopadhyay, Ramesh Karri, Xiaofei Guo, 2014 .

Ramesh Karri, Kaijie Wu, 2002, Proceedings 2002 Design, Automation and Test in Europe Conference and Exhibition.

Ramesh Karri, Krishnendu Chakrabarty, Tung-Che Liang, 2019, 2019 IEEE International Test Conference (ITC).

Ramesh Karri, Vinayaka Jyothi, Sateesh K. Addepalli, 2018, IEEE Transactions on Multi-Scale Computing Systems.

Jeyavijayan Rajendran, Ramesh Karri, Garrett S. Rose, 2010, 2010 IEEE/ACM International Symposium on Nanoscale Architectures.

Michail Maniatakos, Ramesh Karri, Lucas Davi, 2016, Proceedings of the IEEE.

Mohamed Ibrahim, Ramesh Karri, Krishnendu Chakrabarty, 2018, DAC.

Ramesh Karri, Benjamin Tan, 2020, 2020 IEEE 63rd International Midwest Symposium on Circuits and Systems (MWSCAS).

Ramesh Karri, Xiaofei Guo, R. Karri, 2013, IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems.

Ramesh Karri, Krishnendu Chakrabarty, Yong-Ak Song, 2019, 2019 Design, Automation & Test in Europe Conference & Exhibition (DATE).

Ramesh Karri, Prashanth Krishnamurthy, Farshad Khorrami, 2020, IEEE Transactions on Information Forensics and Security.

Ramesh Karri, Kaijie Wu, Kyosun Kim, 2006, IEICE Trans. Fundam. Electron. Commun. Comput. Sci..

Ramesh Karri, Wenjing Rao, Alex Orailoglu, 2006, Eleventh IEEE European Test Symposium (ETS'06).

Ramesh Karri, Wenjing Rao, Alex Orailoglu, 2005, 2005 International Conference on Computer Design.

Ramesh Karri, Kurt Rosenfeld, 2012 .

Ramesh Karri, Ozgur Sinanoglu, Subidh Ali, 2013, VLSI-SoC.

Jeyavijayan Rajendran, Ramesh Karri, Vivekananda Vedula, 2015, 2015 52nd ACM/EDAC/IEEE Design Automation Conference (DAC).

Ramesh Karri, Kurt Rosenfeld, Efstratios Gavas, 2010, 2010 IEEE International Symposium on Hardware-Oriented Security and Trust (HOST).

Ramesh Karri, Krishnendu Chakrabarty, Jack Tang, 2019, Secure and Trustworthy Cyberphysical Microfluidic Biochips.

Ramesh Karri, Bo Yang, Kaijie Wu, 2004, 2004 International Conferce on Test.

Ramesh Karri, Jerry Backer, David Hély, 2015, 2015 IEEE International Test Conference (ITC).

Jorg Henkel, Ramesh Karri, Farshad Khorrami, 2020, IEEE Access.

Ramesh Karri, Farinaz Koushanfar, 2014, 2014 IEEE 57th International Midwest Symposium on Circuits and Systems (MWSCAS).

Jeyavijayan Rajendran, Ramesh Karri, David H. K. Hoe, 2014, 2014 IEEE Computer Society Annual Symposium on VLSI.

Ramesh Karri, Alex Orailoglu, 1993, 30th ACM/IEEE Design Automation Conference.

Luigi Carro, Fernando Morgado Dias, Irith Pomeranz, 2013, J. Electron. Test..

Ramesh Karri, Kaijie Wu, Nikhil Joshi, 2004, CHES.

Ramesh Karri, Vinayaka Jyothi, Richard Stern, 2016, 2016 IEEE 34th International Conference on Computer Design (ICCD).

Robert Wille, Rolf Drechsler, Ramesh Karri, 2017, IEEE Transactions on Emerging Topics in Computing.

Ramesh Karri, Aurobindo Dasgupta, 1995, Proceedings of International Workshop on Defect and Fault Tolerance in VLSI.

Mohamed Ibrahim, Ramesh Karri, Krishnendu Chakrabarty, 2016, 2016 IEEE International Test Conference (ITC).

Ramesh Karri, Nilanjan Mukherjee, 1998, Proceedings International Test Conference 1998 (IEEE Cat. No.98CH36270).

Debdeep Mukhopadhyay, Ramesh Karri, Chenglu Jin, 2014, Journal of Cryptographic Engineering.

Ramesh Karri, Sergei Sokolov, 1994, Proceedings 1994 IEEE International Conference on Computer Design: VLSI in Computers and Processors.

Ramesh Karri, Bo Yang, David A. McGrew, 2004, FPGA '04.

Mohamed Ibrahim, Ramesh Karri, Ozgur Sinanoglu, 2016, IEEE/ACM Transactions on Computational Biology and Bioinformatics.

Ramesh Karri, 2020, ACM J. Emerg. Technol. Comput. Syst..

Ramesh Karri, Alex Orailoglu, R. Karri, 1992, Workshop on VLSI Signal Processing.

Mohamed Ibrahim, Ramesh Karri, Krishnendu Chakrabarty, 2019, Secure and Trustworthy Cyberphysical Microfluidic Biochips.

Tongquan Wei, Ramesh Karri, Kaijie Wu, 2005 .

Robert Wille, Rolf Drechsler, Ramesh Karri, 2019, IEEE Transactions on Very Large Scale Integration (VLSI) Systems.

Jeyavijayan Rajendran, Ramesh Karri, Ozgur Sinanoglu, 2014, Proceedings of the IEEE.

Ramesh Karri, 2001, IEEE Trans. Comput. Aided Des. Integr. Circuits Syst..

Debdeep Mukhopadhyay, Ramesh Karri, Chenglu Jin, 2014, 2014 IEEE International Symposium on Hardware-Oriented Security and Trust (HOST).

Ramesh Karri, Malathi Veeraraghavan, Tim Moors, 2001 .

Ramesh Karri, Alex Orailoglu, R. Karri, 1993, FTCS-23 The Twenty-Third International Symposium on Fault-Tolerant Computing.

Miodrag Potkonjak, Jeyavijayan Rajendran, Ramesh Karri, 2012, 2012 IEEE Computer Society Annual Symposium on VLSI.

Miodrag Potkonjak, Jeyavijayan Rajendran, Ramesh Karri, 2012, IACR Cryptology ePrint Archive.

Sachhidh Kannan, Jeyavijayan Rajendran, Ramesh Karri, 2013, 2013 26th International Conference on VLSI Design and 2013 12th International Conference on Embedded Systems.

Ramesh Karri, Mohamed Zahran, Artem Durytskyy, 2011, 2011 IEEE 29th International Conference on Computer Design (ICCD).

Ramesh Karri, Alex Orailoglu, Karin Högstedt, 1994, Proceedings of 7th International Symposium on High-Level Synthesis.

Michail Maniatakos, Ramesh Karri, Alvaro A. Cárdenas, 2017, IEEE Des. Test.

Ramesh Karri, Kanad Basu, Aditya Rohan, 2019, 2019 IEEE 28th Asian Test Symposium (ATS).

Ramesh Karri, Michael Gössel, Grigori Kuznetsov, 2003, International Test Conference, 2003. Proceedings. ITC 2003..

Ramesh Karri, Aurobindo Dasgupta, 1998, IEEE Trans. Comput. Aided Des. Integr. Circuits Syst..

Ramesh Karri, Alex Orailoglu, 1992, [1992] Conference Record of the Twenty-Sixth Asilomar Conference on Signals, Systems & Computers.

Ramesh Karri, Krishnendu Chakrabarty, Kristin C. Scott, 2020, IEEE Transactions on Information Forensics and Security.

Ramesh Karri, Alex Orailoglu, Karin Högstedt, 1996, IEEE Des. Test Comput..

Ramesh Karri, Krishnendu Chakrabarty, Yong-Ak Song, 2019, 2019 32nd International Conference on VLSI Design and 2019 18th International Conference on Embedded Systems (VLSID).

Ramesh Karri, Siddharth Garg, Kaijie Wu, 2019, ACM J. Emerg. Technol. Comput. Syst..

Ramesh Karri, Farshad Khorrami, Prashanth Krishnamurthy, 2019, 2019 IEEE 37th International Conference on Computer Design (ICCD).

Mohamed Ibrahim, Ramesh Karri, Krishnendu Chakrabarty, 2019, Secure and Trustworthy Cyberphysical Microfluidic Biochips.

Ramesh Karri, Jerry Backer, R. Karri, 2012, 2012 IEEE 30th International Conference on Computer Design (ICCD).

Mohamed Ibrahim, Ramesh Karri, Krishnendu Chakrabarty, 2017, 2017 IEEE 26th Asian Test Symposium (ATS).

Jeyavijayan Rajendran, Ramesh Karri, Garrett S. Rose, 2012, Proceedings of the IEEE.

Ramesh Karri, Kaijie Wu, Kyosun Kim, 2005, Design, Automation and Test in Europe.

Ramesh Karri, Krishnendu Chakrabarty, Mohammed Shayan, 2020, ACM Trans. Design Autom. Electr. Syst..

Ramesh Karri, David A. McGrew, Bo Yang, 2004, Microprocess. Microsystems.

Jeyavijayan Rajendran, Ramesh Karri, Ozgur Sinanoglu, 2013, 2013 IEEE International Test Conference (ITC).

Israel Koren, Ramesh Karri, Balakrishnan Iyer, 2002, IEEE Trans. Comput. Aided Des. Integr. Circuits Syst..

Mohamed Ibrahim, Ramesh Karri, Ozgur Sinanoglu, 2016, 2016 IEEE Biomedical Circuits and Systems Conference (BioCAS).

Ramesh Karri, Kurt Rosenfeld, 2011, 29th VLSI Test Symposium.

Jeyavijayan Rajendran, Ramesh Karri, Ozgur Sinanoglu, 2013, 2013 18th IEEE European Test Symposium (ETS).

Mohamed Ibrahim, Ramesh Karri, Krishnendu Chakrabarty, 2020 .

Ramesh Karri, Alex Orailoglu, R. Karri, 1992, [1992] Proceedings 29th ACM/IEEE Design Automation Conference.

Ramesh Karri, Donatella Sciuto, Christian Pilato, 2020, IEEE Transactions on Very Large Scale Integration (VLSI) Systems.

Jeyavijayan Rajendran, Ramesh Karri, Garrett S. Rose, 2012, IEEE Transactions on Computers.

Ramesh Karri, Kaijie Wu, Kyosun Kim, 2007, IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems.

Ramesh Karri, Alex Orailoglu, R. Karri, 1991, MICRO 24.

Ramesh Karri, Davood Shahrjerdi, Abdullah Alharbi, 2016, 2016 IEEE/ACM International Conference on Computer-Aided Design (ICCAD).

Ramesh Karri, Michael Gössel, Grigori Kuznetsov, 2003, CHES.

Ramesh Karri, Krishnendu Chakrabarty, Yong-Ak Song, 2019, IEEE Transactions on Very Large Scale Integration (VLSI) Systems.

Mark Mohammad Tehranipoor, Jeyavijayan Rajendran, Ramesh Karri, 2013, 2013 IEEE 31st VLSI Test Symposium (VTS).

Ramesh Karri, Wenjing Rao, Alex Orailoglu, 2011, Computer.

Ramesh Karri, Xueyang Wang, 2014, Network Science and Cybersecurity.

Ramesh Karri, Mohammed Nabeel, Kanad Basu, 2019, 2019 IEEE 37th International Conference on Computer Design (ICCD).

Ramesh Karri, Xueyang Wang, R. Karri, 2013, 2013 50th ACM/EDAC/IEEE Design Automation Conference (DAC).

Ramesh Karri, Kaijie Wu, 2004, IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems.

Sachhidh Kannan, Jeyavijayan Rajendran, Ramesh Karri, 2013, IEEE Transactions on Nanotechnology.

Ramesh Karri, Bo Yang, 2005, IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems.

Miodrag Potkonjak, Jeyavijayan Rajendran, Ramesh Karri, 2015, Proceedings of the IEEE.

Miodrag Potkonjak, Ramesh Karri, Ingrid Verbauwhede, 2012, IEEE Trans. Inf. Forensics Secur..

Ramesh Karri, Bo Yang, Kaijie Wu, 2005, IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems.

Jeyavijayan Rajendran, Ramesh Karri, Vinayaka Jyothi, 2011, 2011 IEEE 29th International Conference on Computer Design (ICCD).

Ramesh Karri, Sukanta Bhattacharjee, Krishnendu Chakrabarty, 2020, IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems.

H. Wang, Ramesh Karri, Malathi Veeraraghavan, 2005, IEEE Journal on Selected Areas in Communications.

Nektarios Georgios Tsoutsos, Ramesh Karri, Nikhil Gupta, 2020, Computer.

Ramesh Karri, Ozgur Sinanoglu, Subidh Ali, 2014, 2014 19th IEEE European Test Symposium (ETS).

Ramesh Karri, M. Brown, J Karrfalt, 2001 .

Ramesh Karri, Alex Orailoglu, R. Karri, 1994, IEEE Trans. Very Large Scale Integr. Syst..

Ramesh Karri, Benjamin Tan, Hammond Pearce, 2020, MLCAD.

Robert Wille, Ramesh Karri, Samah Mohamed Saeed, 2019, ACM Trans. Embed. Comput. Syst..

Ramesh Karri, Kaijie Wu, Piyush Mishra, 2000 .

Jeyavijayan Rajendran, Ramesh Karri, Farinaz Koushanfar, 2014, 2014 IEEE/ACM International Conference on Computer-Aided Design (ICCAD).

Ramesh Karri, Piyush Mishra, 2001 .

Jeyavijayan Rajendran, Ramesh Karri, Arun K. Kanuparthi, 2016, 2016 IEEE International Symposium on Hardware Oriented Security and Trust (HOST).

Ramesh Karri, Kaijie Wu, Xiaotong Cui, 2018, ACM J. Emerg. Technol. Comput. Syst..

Mohamed Ibrahim, Ramesh Karri, Krishnendu Chakrabarty, 2018, IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems.

Mohamed Ibrahim, Ramesh Karri, Krishnendu Chakrabarty, 2020, ACM Trans. Design Autom. Electr. Syst..

Ramesh Karri, Wenjing Rao, Alex Orailoglu, 2004, 2004 International Conferce on Test.

Ramesh Karri, Mohammed Shayan, Kanad Basu, 2019, IEEE Design & Test.

Nektarios Georgios Tsoutsos, Michail Maniatakos, Jeyavijayan Rajendran, 2016 .

Ramesh Karri, Alex Orailoglu, R. Karri, 1991 .

Ramesh Karri, Ozgur Sinanoglu, Subidh Ali, 2014, 2014 International Test Conference.

Ramesh Karri, Eby G. Friedman, Kanad Basu, 2020, IEEE Transactions on Applied Superconductivity.

Ramesh Karri, Bo Yang, Kaijie Wu, 2004, IACR Cryptol. ePrint Arch..

Ramesh Karri, Krishnendu Chakrabarty, Yong-Ak Song, 2019, 2019 IEEE 25th International Symposium on On-Line Testing and Robust System Design (IOLTS).

Ramesh Karri, Wenjing Rao, Alex Orailoglu, 2009, IEEE Design & Test of Computers.

Jeyavijayan Rajendran, Ramesh Karri, Ozgur Sinanoglu, 2012, 2012 Design, Automation & Test in Europe Conference & Exhibition (DATE).

Ramesh Karri, Nikhil Gupta, Satish T. S. Bukkapatnam, 2020, IEEE Access.

Jeyavijayan Rajendran, Ramesh Karri, Jack Tang, 2016, 2016 IEEE International Symposium on Circuits and Systems (ISCAS).

Ramesh Karri, Kaijie Wu, Piyush Mishra, 2002, IEEE Trans. Comput. Aided Des. Integr. Circuits Syst..

Tao Li, Ramesh Karri, Malathi Veeraraghavan, 2004, 2004 IEEE International Conference on Communications (IEEE Cat. No.04CH37577).

Michail Maniatakos, Ramesh Karri, Xueyang Wang, 2016, IEEE Transactions on Multi-Scale Computing Systems.

Ramesh Karri, Krishnendu Chakrabarty, Sukanta Bhattacharjee, 2018, 2018 IEEE/ACM International Conference on Computer-Aided Design (ICCAD).

Ramesh Karri, Charles E. Stroud, S. Roy, 1997, Proceedings International Test Conference 1997.

Jeyavijayan Rajendran, Ramesh Karri, Garrett S. Rose, 2011, 2011 IEEE International Symposium of Circuits and Systems (ISCAS).

Jeyavijayan Rajendran, Ramesh Karri, Ozgur Sinanoglu, 2013, CCS.

Robert Wille, Rolf Drechsler, Ramesh Karri, 2017, ArXiv.

Jeyavijayan Rajendran, Ramesh Karri, Ozgur Sinanoglu, 2015, IEEE Transactions on Computers.

Sylvain Guilley, Ramesh Karri, Naghmeh Karimi, 2015, HASP@ISCA.

Ramesh Karri, Ozgur Sinanoglu, Subidh Ali, 2014, 2014 IEEE International Symposium on Hardware-Oriented Security and Trust (HOST).

Ramesh Karri, Tv Gopal, Prk Murti, 1988 .

Ramesh Karri, Wenjing Rao, A. Orailoglu, 2005, Proceedings of the ASP-DAC 2005. Asia and South Pacific Design Automation Conference, 2005..

Jeyavijayan Rajendran, Ramesh Karri, Ozgur Sinanoglu, 2013 .

Ramesh Karri, Alex Orailoglu, 1994 .

Mohamed Ibrahim, Ramesh Karri, Krishnendu Chakrabarty, 2018, 2018 IEEE 23rd European Test Symposium (ETS).

Sachhidh Kannan, Ramesh Karri, Ozgur Sinanoglu, 2013, 2013 IEEE 31st International Conference on Computer Design (ICCD).

Ramesh Karri, Alex Orailoglu, R. Karri, 1994, Proceedings of 4th Great Lakes Symposium on VLSI.

Debdeep Mukhopadhyay, Ramesh Karri, Xiaofei Guo, 2012, IACR Cryptol. ePrint Arch..

Ramesh Karri, Arun K. Kanuparthi, 2015, TACO.

Ramesh Karri, Jerry Backer, David Hély, 2017, ACM Trans. Design Autom. Electr. Syst..

Ramesh Karri, Aurobindo Dasgupta, 1995, Proceedings of ISCAS'95 - International Symposium on Circuits and Systems.

Ramesh Karri, Jörg Henkel, Farshad Khorrami, 2020, IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems.

Ramesh Karri, Ozgur Sinanoglu, Subidh Ali, 2013, 2013 IEEE 19th International On-Line Testing Symposium (IOLTS).

Ramesh Karri, Kanad Basu, Ujjwal Gupta, 2020, IEEE Transactions on Information Forensics and Security.

Ramesh Karri, Bo Yang, David A. McGrew, 2006, IEEE Journal on Selected Areas in Communications.

Robert Wille, Ramesh Karri, Alwin Zulehner, 2017, 2017 IEEE International Conference on Computer Design (ICCD).

Ramesh Karri, Piyush Mishra, 2003, IEEE International Conference on Communications, 2003. ICC '03..

Ramesh Karri, Swarup Bhunia, Domenic Forte, 2019, 2019 IEEE International Test Conference (ITC).

Ramesh Karri, Bo Yang, David McGrew, 2005, IEEE Trans. Comput. Aided Des. Integr. Circuits Syst..

Ramesh Karri, Bo Yang, Kaijie Wu, 2006, IEEE Trans. Comput. Aided Des. Integr. Circuits Syst..

Mohamed Ibrahim, Ramesh Karri, Krishnendu Chakrabarty, 2020, IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems.

Ramesh Karri, Zahra Ghodsi, Siddharth Garg, 2017, 2017 IEEE/ACM International Conference on Computer-Aided Design (ICCAD).

Ramesh Karri, Krishnendu Chakrabarty, Mohammed Shayan, 2019, ASP-DAC.

Ramesh Karri, Xiaofei Guo, R. Karri, 2012, DAC Design Automation Conference 2012.

Ramesh Karri, Wenjing Rao, Alex Orailoglu, 2006, 2006 43rd ACM/IEEE Design Automation Conference.

Robert Wille, Ramesh Karri, Krishnendu Chakrabarty, 2021, IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems.

Ramesh Karri, Israel Koren, Balakrishnan Iyer, 1995, Proceedings of IEEE International Conference on Computer Aided Design (ICCAD).

Ramesh Karri, Mohamed Zahran, Arun K. Kanuparthi, 2010, 2010 IEEE International Conference on Computer Design.

Israel Koren, Ramesh Karri, Balakrishnan Iyer, 1995, ICCAD.

Ramesh Karri, Krishnendu Chakrabarty, Christian Pilato, 2019, ACM Trans. Design Autom. Electr. Syst..

Ramesh Karri, Alex Orailoglu, Kyosun Kim, 2007, 2007 IEEE International Symposium on Nanoscale Architectures.

Ramesh Karri, Bo Yang, Sambit Mishra, 2005, IACR Cryptol. ePrint Arch..

Ramesh Karri, Dean Collins, 2014, 2014 IEEE 32nd VLSI Test Symposium (VTS).

Ramesh Karri, Xueyang Wang, Sateesh Addepalli, 2016, 2016 29th International Conference on VLSI Design and 2016 15th International Conference on Embedded Systems (VLSID).

Ramesh Karri, A. Orailoglu, A. Orailoglu, 1993, Proceedings of IEEE Custom Integrated Circuits Conference - CICC '93.

Ramesh Karri, Balakrishnan Iyer, B. Iyer, 1996, 33rd Design Automation Conference Proceedings, 1996.

Ramesh Karri, Michael Nicolaidis, M. Nicolaidis, 1998, IEEE Design & Test of Computers.

Ramesh Karri, Sateesh Addepalli, Vinayaka Jyothi, 2015, 2015 33rd IEEE International Conference on Computer Design (ICCD).

Ramesh Karri, Wenjing Rao, Alex Orailoglu, 2007, 2007 Design, Automation & Test in Europe Conference & Exhibition.

Ramesh Karri, Wenjing Rao, Alex Orailoglu, 2007, 37th Annual IEEE/IFIP International Conference on Dependable Systems and Networks (DSN'07).

Ramesh Karri, Ozgur Sinanoglu, Xueyang Wang, 2015, TACO.

Ramesh Karri, Francesco Regazzoni, Christian Pilato, 2019, IEEE Transactions on Very Large Scale Integration (VLSI) Systems.

Ramesh Karri, Kurt Rosenfeld, R. Karri, 2010, IEEE Design & Test of Computers.

Ramesh Karri, Wenjing Rao, Alex Orailoglu, 2005, Proceedings of the ASP-DAC 2005. Asia and South Pacific Design Automation Conference, 2005..

Ramesh Karri, Charles E. Stroud, M. Ding, 1998 .

Ramesh Karri, Kaijie Wu, Nikhil Joshi, 2006, IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems.

Jeyavijayan Rajendran, Ramesh Karri, Ozgur Sinanoglu, 2015, IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems.

Ramesh Karri, Wenjing Rao, Alex Orailoglu, 2006, 24th IEEE VLSI Test Symposium.

Ramesh Karri, Francesco Regazzoni, Christian Pilato, 2019, 2019 Design, Automation & Test in Europe Conference & Exhibition (DATE).

Ramesh Karri, Krishnendu Chakrabarty, Rana Elnaggar, 2019, 2019 56th ACM/IEEE Design Automation Conference (DAC).

Ramesh Karri, Wenjing Rao, Alex Orailoglu, 2007, J. Electron. Test..

Ramesh Karri, Ozgur Sinanoglu, Johann Knechtel, 2018, IEEE Transactions on Emerging Topics in Computing.

Ramesh Karri, Chi Zhang, Chenglu Jin, 2016, IEEE Transactions on Emerging Topics in Computing.

Mohamed Ibrahim, Ramesh Karri, Krishnendu Chakrabarty, 2017, 2017 IEEE International Conference on Computer Design (ICCD).

Miodrag Potkonjak, Ramesh Karri, Kyosun Kim, 1999 .

Sachhidh Kannan, Ramesh Karri, Ozgur Sinanoglu, 2015, IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems.

Ramesh Karri, Michael Gössel, Vitalij Ocheretnij, 2005, 11th IEEE International On-Line Testing Symposium.

Ramesh Karri, Krishnendu Chakrabarty, Rana Elnaggar, 2018, 2018 IEEE 36th VLSI Test Symposium (VTS).

Jeyavijayan Rajendran, Ramesh Karri, Jorge Jimenez, 2010, Proceedings of 2010 IEEE International Symposium on Circuits and Systems.

Ramesh Karri, Farshad Khorrami, Prashanth Krishnamurthy, 2017, 2017 IFIP/IEEE International Conference on Very Large Scale Integration (VLSI-SoC).

Miodrag Potkonjak, Ramesh Karri, Kyosun Kim, 2006, IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems.

Ramesh Karri, Jianzhou Li, 2010, Proceedings of 2010 IEEE International Symposium on Circuits and Systems.

Ramesh Karri, Alex Orailoglu, 1994, J. Syst. Softw..

Mohamed Ibrahim, Ramesh Karri, Krishnendu Chakrabarty, 2020, IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems.

Jeyavijayan Rajendran, Ramesh Karri, Ozgur Sinanoglu, 2013, 2013 Design, Automation & Test in Europe Conference & Exhibition (DATE).

Ramesh Karri, Kaijie Wu, Piyush Mishra, 2001, Proceedings of the 38th Design Automation Conference (IEEE Cat. No.01CH37232).

Ramesh Karri, Kaijie Wu, R. Karri, 2000, Proceedings International Test Conference 2000 (IEEE Cat. No.00CH37159).

Mohamed Ibrahim, Ramesh Karri, Ozgur Sinanoglu, 2015, 2015 33rd IEEE International Conference on Computer Design (ICCD).

Ramesh Karri, Hrvoje Pandžić, Yury Dvorkin, 2020, IEEE Access.

Ramesh Karri, David Hély, Jeremy Dubeuf, 2013, 2013 18th IEEE European Test Symposium (ETS).

Ramesh Karri, Alex Orailoglu, R. Karri, 1992, [Proceedings] 1992 IEEE International Symposium on Circuits and Systems.

Ramesh Karri, Ozgur Sinanoglu, Jeyavijayan J. V. Rajendran, 2016, IEEE Transactions on Very Large Scale Integration (VLSI) Systems.

Ramesh Karri, Kaijie Wu, 2001, Proceedings 2001 IEEE International Symposium on Defect and Fault Tolerance in VLSI Systems.

Robert Wille, Ramesh Karri, Alwin Zulehner, 2019, ACM J. Emerg. Technol. Comput. Syst..

Mohamed Ibrahim, Ramesh Karri, Krishnendu Chakrabarty, 2020 .

Ramesh Karri, Nilanjan Mukherjee, T Chakrabarti, 1999 .

Ramesh Karri, Benjamin Tan, Farshad Khorrami, 2020, MLCAD.

Mark Mohammad Tehranipoor, Jeyavijayan Rajendran, Ramesh Karri, 2011, Computer.

Jeyavijayan Rajendran, Ramesh Karri, Chen Liu, 2013, 2013 IEEE International Symposium on Defect and Fault Tolerance in VLSI and Nanotechnology Systems (DFTS).

Ramesh Karri, Jerry Backer, David Hély, 2015, 2015 IEEE International Symposium on Defect and Fault Tolerance in VLSI and Nanotechnology Systems (DFTS).

Ramesh Karri, Michael Gössel, Kaijie Wu, 2004, 2004 International Conferce on Test.

Ramesh Karri, Bo Yang, R. Karri, 2005, IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems.

Jeyavijayan Rajendran, Ramesh Karri, Garrett S. Rose, 2015, IEEE Transactions on Computers.

Jeyavijayan Rajendran, Ramesh Karri, Garrett S. Rose, 2011, 2011 24th Internatioal Conference on VLSI Design.

Ramesh Karri, Michael Prof. Dr. Gössel, Grigori Kouznetsov, 2002 .

Mark Mohammad Tehranipoor, Ramesh Karri, Hassan Salmani, 2013, 2013 IEEE 31st International Conference on Computer Design (ICCD).

Ramesh Karri, Kaijie Wu, Nikhil Joshi, 2007, Workshop on Fault Diagnosis and Tolerance in Cryptography (FDTC 2007).

Ramesh Karri, Tv Gopal, Prk Murti, 1988 .

Ramesh Karri, Rana Elnaggar, Krishnendu Chakrabarty, 2021, IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems.

Ramesh Karri, Alex Orailoglu, 1994, 31st Design Automation Conference.

Mohamed Ibrahim, Ramesh Karri, Krishnendu Chakrabarty, 2018, 2018 55th ACM/ESDA/IEEE Design Automation Conference (DAC).

Ramesh Karri, Ozgur Sinanoglu, Sule Ozev, 2014, 2014 Design, Automation & Test in Europe Conference & Exhibition (DATE).

Tongquan Wei, Ramesh Karri, Kaijie Wu, 2005, Proceedings of the ASP-DAC 2005. Asia and South Pacific Design Automation Conference, 2005..

Ramesh Karri, Kaijie Wu, 2003, International Test Conference, 2003. Proceedings. ITC 2003..

Seth Copen Goldstein, Sandeep K. Shukla, Kaustav Banerjee, 2003, Eighth IEEE International High-Level Design Validation and Test Workshop.

Ramesh Karri, Krishnendu Chakrabarty, Rana Elnaggar, 2019, 2019 Design, Automation & Test in Europe Conference & Exhibition (DATE).

Ramesh Karri, Farinaz Koushanfar, Masoud Rostami, 2014, Proceedings of the IEEE.

Mohamed Ibrahim, Ramesh Karri, Krishnendu Chakrabarty, 2019, IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems.

Ramesh Karri, Ozgur Sinanoglu, Peilin Song, 2006, 2006 Proceedings of the First Mobile Computing and Wireless Communication International Conference.

Nasir D. Memon, Giovanni Di Crescenzo, Jeyavijayan Rajendran, 2017, ASHES@CCS.

Ramesh Karri, Siddharth Garg, Francesco Regazzoni, 2018, 2018 55th ACM/ESDA/IEEE Design Automation Conference (DAC).

Ramesh Karri, Farshad Khorrami, Prashanth Krishnamurthy, 2018, 2018 Annual American Control Conference (ACC).

Miodrag Potkonjak, Ramesh Karri, Kyosun Kim, 1996, Proceedings. 1996 IEEE International Symposium on Defect and Fault Tolerance in VLSI Systems.

Ramesh Karri, Kaijie Wu, R. Karri, 2006, IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems.

Jeyavijayan Rajendran, Ramesh Karri, Ozgur Sinanoglu, 2017 .

Sachhidh Kannan, Ramesh Karri, Ozgur Sinanoglu, 2014, 2014 IEEE 32nd VLSI Test Symposium (VTS).

Miodrag Potkonjak, Ramesh Karri, Kyosun Kim, 1997, 1997 Proceedings of IEEE International Conference on Computer Aided Design (ICCAD).

Ramesh Karri, Kaijie Wu, Xiaotong Cui, 2018, 2018 IEEE 23rd European Test Symposium (ETS).

Ramesh Karri, Ozgur Sinanoglu, Subidh Ali, 2015, IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems.

Mark Mohammad Tehranipoor, Jeyavijayan Rajendran, Ramesh Karri, 2010, Computer.

Jeyavijayan Rajendran, Ramesh Karri, Mohamed Zahran, 2013, IEEE Design & Test.

Ramesh Karri, Farshad Khorrami, Prashanth Krishnamurthy, 2018, IEEE Transactions on Information Forensics and Security.

Ramesh Karri, A. Dasgupta, 1996, 1996 IEEE International Symposium on Circuits and Systems. Circuits and Systems Connecting the World. ISCAS 96.

Ramesh Karri, Francesco Regazzoni, Chenglu Jin, 2015, 2015 IEEE International Symposium on Hardware Oriented Security and Trust (HOST).

Ramesh Karri, Sateesh Addepalli, Arun K. Kanuparthi, 2013, CyCAR '13.

Jeyavijayan Rajendran, Ramesh Karri, Ozgur Sinanoglu, 2013, 2013 IEEE 19th International On-Line Testing Symposium (IOLTS).

Ramesh Karri, Farshad Khorrami, Prashanth Krishnamurthy, 2020, IEEE Transactions on Information Forensics and Security.

Sachhidh Kannan, Ramesh Karri, Ozgur Sinanoglu, 2015, IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems.

Miodrag Potkonjak, Jeyavijayan Rajendran, Ramesh Karri, 2013, 2013 18th Asia and South Pacific Design Automation Conference (ASP-DAC).

Jeyavijayan Rajendran, Ramesh Karri, Hetal Borad, 2010, 2010 IEEE International Symposium on Hardware-Oriented Security and Trust (HOST).

Ramesh Karri, Siddharth Garg, Christian Pilato, 2020, 2020 Design, Automation & Test in Europe Conference & Exhibition (DATE).

Ramesh Karri, Brendan Dolan-Gavitt, Kang Liu, 2020, ArXiv.

Ramesh Karri, Mohamed Zahran, Arun K. Kanuparthi, 2012, IEEE Transactions on Information Forensics and Security.

Ramesh Karri, Yury Dvorkin, Samrat Acharya, 2019, IEEE Transactions on Smart Grid.

Ramesh Karri, Rana Elnaggar, Benjamin Tan, 2021, IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems.

Jeyavijayan Rajendran, Ramesh Karri, Ozgur Sinanoglu, 2012, DAC Design Automation Conference 2012.

Jeyavijayan Rajendran, Ramesh Karri, Chen Liu, 2013, IEEE Transactions on Emerging Topics in Computing.

Ramesh Karri, Kaijie Wu, 2001, Proceedings International Test Conference 2001 (Cat. No.01CH37260).

Ramesh Karri, Siddharth Garg, Kaijie Wu, 2018, IEEE Embedded Systems Letters.

Ramesh Karri, Vinayaka Jyothi, Richard Stern, 2017, 2017 IEEE International Conference on Computer Design (ICCD).

Ramesh Karri, Krishnendu Chakrabarty, Sukanta Bhattacharjee, 2019, IEEE Transactions on Information Forensics and Security.

Ramesh Karri, Kaijie Wu, 2001, IEEE/ACM International Conference on Computer Aided Design. ICCAD 2001. IEEE/ACM Digest of Technical Papers (Cat. No.01CH37281).

Ramesh Karri, David Hely, Kurt Rosenfeld, 2011, 2011 IEEE 9th International New Circuits and systems conference.

Ramesh Karri, Bo Yang, David A. McGrew, 2004, Proceedings. 41st Design Automation Conference, 2004..

Ramesh Karri, Sateesh Addepalli, Arun K. Kanuparthi, 2012, 2012 IEEE 30th International Conference on Computer Design (ICCD).

Miodrag Potkonjak, Ramesh Karri, Inki Hong, 1997, 1997 Proceedings of IEEE International Conference on Computer Aided Design (ICCAD).

Ramesh Karri, Krishnendu Chakrabarty, Yong-Ak Song, 2019, COINS.

Ramesh Karri, Ozgur Sinanoglu, Subidh Ali, 2015, ISCAS 2015.

Ramesh Karri, Siddharth Garg, Francesco Regazzoni, 2018, 2018 55th ACM/ESDA/IEEE Design Automation Conference (DAC).

Miodrag Potkonjak, Ramesh Karri, Kyosun Kim, 1996, VLSI Signal Processing, IX.

Ramesh Karri, Kaijie Wu, Xiaotong Cui, 2016, 2016 IEEE International Symposium on Defect and Fault Tolerance in VLSI and Nanotechnology Systems (DFT).

Debdeep Mukhopadhyay, Ramesh Karri, Subidh Ali, 2016 .

Ramesh Karri, Alex Orailoglu, 1993 .

Ramesh Karri, Aurobindo Dasgupta, R. Karri, 1996, DAC '96.

Ramesh Karri, Prashanth Krishnamurthy, Farshad Khorrami, 2017, 2017 IEEE International Conference on Computer Design (ICCD).

Ramesh Karri, Malathi Veeraraghavan, Haobo Wang, 2002, SPIE ITCom.

Jeyavijayan Rajendran, Ramesh Karri, Vivekananda Vedula, 2016, 2016 29th International Conference on VLSI Design and 2016 15th International Conference on Embedded Systems (VLSID).

Ramesh Karri, Kanad Basu, Anushree Mahapatra, 2021, ACM Trans. Embed. Comput. Syst..

Ramesh Karri, Brendan Dolan-Gavitt, Hammond Pearce, 2021, 2022 IEEE Symposium on Security and Privacy (SP).

Ramesh Karri, Brendan Dolan-Gavitt, Hammond Pearce, 2021, ArXiv.

Ramesh Karri, Krishnendu Chakrabarty, Rana Elnaggar, 2022, IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems.

Jason Cong, Peng Wei, Ramesh Karri, 2021, 2021 Formal Methods in Computer Aided Design (FMCAD).

Ramesh Karri, Chandan Karfa, Ramanuj Chouksey, 2021, 2021 Design, Automation & Test in Europe Conference & Exhibition (DATE).

Ramesh Karri, Krishnendu Chakrabarty, Yong-Ak Song, 2021, IEEE Transactions on Information Forensics and Security.

Ramesh Karri, Ozgur Sinanoglu, Siddharth Garg, 2021, 2021 58th ACM/IEEE Design Automation Conference (DAC).

Ramesh Karri, Hammond Pearce, Kaushik Yanamandra, 2021, IEEE/ASME Transactions on Mechatronics.

Ramesh Karri, Charalambos Konstantinou, Samrat Acharya, 2021, IEEE Transactions on Smart Grid.

Ramesh Karri, Gary Mac, Michael Linares, 2021, Computer.

Ramesh Karri, Ozgur Sinanoglu, Johann Knechtel, 2021, 2021 IEEE/ACM International Conference On Computer Aided Design (ICCAD).

Ramesh Karri, Gourav Takhar, Christian Pilato, 2022, TACAS.

Ramesh Karri, Brendan Dolan-Gavitt, Hammond Pearce, 2021, ArXiv.

Ramesh Karri, Siddharth Garg, Animesh Basak Chowdhury, 2021, ArXiv.

Ramesh Karri, Pierre-Emmanuel Gaillardon, Christian Pilato, 2021, IEEE Transactions on Very Large Scale Integration (VLSI) Systems.

Ramesh Karri, Siddharth Garg, Brendan Dolan-Gavitt, 2020, AISec@CCS.

Ramesh Karri, Pierre-Emmanuel Gaillardon, Christian Pilato, 2021, 2021 IEEE/ACM International Conference On Computer Aided Design (ICCAD).

Evangeline F. Y. Young, Ramesh Karri, Siddharth Garg, 2021, 2021 26th Asia and South Pacific Design Automation Conference (ASP-DAC).

Ramesh Karri, Krishnendu Chakrabarty, Tung-Che Liang, 2021, 2021 IEEE Biomedical Circuits and Systems Conference (BioCAS).

Sheldon X.-D. Tan, Ramesh Karri, Ilia Polian, 2021, 2021 IEEE 39th VLSI Test Symposium (VTS).

Ramesh Karri, Siddharth Garg, Animesh Basak Chowdhury, 2022, IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems.

Ankur Srivastava, Gang Qu, Ramesh Karri, 2021, 2021 58th ACM/IEEE Design Automation Conference (DAC).

Ramesh Karri, Deepraj Soni, R. Karri, 2021, 2021 IEEE Computer Society Annual Symposium on VLSI (ISVLSI).

Ramesh Karri, Siddharth Garg, Donatella Sciuto, 2021, ArXiv.

Ramesh Karri, Samrat Acharya, Yury Dvorkin, 2021, IEEE Transactions on Smart Grid.

Rolf Drechsler, Ramesh Karri, Siddharth Garg, 2021, 2021 Design, Automation & Test in Europe Conference & Exhibition (DATE).

Ramesh Karri, R. Karri, 1999 .

Ramesh Karri, Kaijie Wu, R. Karri, 2002 .

Ramesh Karri, Kurt Rosenfeld, 2009 .

Jörg Henkel, Ramesh Karri, Prashanth Krishnamurthy, 2017, 2017 International Conference on Compilers, Architectures and Synthesis For Embedded Systems (CASES).