Mehul Tikekar

发表

Lara Dolecek, Devavrat Shah, Anantha Chandrakasan, 2010, 2010 Design, Automation & Test in Europe Conference & Exhibition (DATE 2010).

Anantha Chandrakasan, Vivienne Sze, Chao-Tsung Huang, 2014, IEEE Journal of Solid-State Circuits.

Anantha P. Chandrakasan, Vivienne Sze, Mehul Tikekar, 2017, IEEE Journal of Solid-State Circuits.

Anantha Chandrakasan, Chao-Tsung Huang, Mehul Tikekar, 2013, 2013 Visual Communications and Image Processing (VCIP).

Lara Dolecek, Devavrat Shah, Anantha Chandrakasan, 2013, IEEE Transactions on Very Large Scale Integration (VLSI) Systems.

Boris Murmann, Mehul Tikekar, Phillip Nadeau, 2020, ArXiv.

Anantha P. Chandrakasan, Vivienne Sze, Mehul Tikekar, 2017, VLSIC 2017.

Anantha Chandrakasan, Vivienne Sze, Chao-Tsung Huang, 2014, 2014 IEEE International Conference on Image Processing (ICIP).

Anantha P. Chandrakasan, Mehul Tikekar, Priyanka Raina, 2017, IEEE Journal of Solid-State Circuits.

Anantha Chandrakasan, Vivienne Sze, Chao-Tsung Huang, 2014, High Efficiency Video Coding.

Anantha Chandrakasan, Chao-Tsung Huang, Mehul Tikekar, 2014, IEEE Transactions on Very Large Scale Integration (VLSI) Systems.

Anantha Chandrakasan, Vivienne Sze, Chao-Tsung Huang, 2013, 2013 IEEE International Solid-State Circuits Conference Digest of Technical Papers.

Anantha Chandrakasan, Mehul Tikekar, Priyanka Raina, 2016, ESSCIRC Conference 2016: 42nd European Solid-State Circuits Conference.