Adit D. Singh

发表

Haihua Yan, Adit D. Singh, 2006, IEEE Transactions on Very Large Scale Integration (VLSI) Systems.

Adit D. Singh, Kewal K. Saluja, Virendra Singh, 2015, 2015 IEEE 24th Asian Test Symposium (ATS).

Abhijit Chatterjee, Adit D. Singh, Xiangdong Xuan, 2003, 2003 Test Symposium.

Hee Yong Youn, Jae Young Lee, Adit D. Singh, 1995, IEEE Trans. Computers.

Vishwani D. Agrawal, Adit D. Singh, Anand S. Mudlapur, 2005, IEEE International Conference on Test, 2005..

Adit D. Singh, C. Mani Krishna, 1993, IEEE Trans. Comput. Aided Des. Integr. Circuits Syst..

Adit D. Singh, Victor P. Nelson, C. G. Knight, 1998 .

Adit D. Singh, C. Mani Krishna, 1996, IEEE Trans. Computers.

Adit D. Singh, 2015, 2015 28th International Conference on VLSI Design.

Adit D. Singh, Jie Zou, Chao Han, 2014, 2014 IEEE 23rd Asian Test Symposium.

Adit D. Singh, Kewal K. Saluja, Virendra Singh, 2017, J. Electron. Test..

Masahiro Fujita, Adit D. Singh, Virendra Singh, 2012, 2012 IEEE 18th International On-Line Testing Symposium (IOLTS).

Haihua Yan, Adit D. Singh, 2004, 2004 International Conferce on Test.

Abhijit Chatterjee, Adit D. Singh, Abdulkadir Utku Diril, 2005, IEEE Transactions on Very Large Scale Integration (VLSI) Systems.

Abhijit Chatterjee, Adit D. Singh, Vivek De, 2006, 19th International Conference on VLSI Design held jointly with 5th International Conference on Embedded Systems Design (VLSID'06).

Adit D. Singh, 2003, International Test Conference, 2003. Proceedings. ITC 2003..

Hideo Fujiwara, Adit D. Singh, Kewal K. Saluja, 2010, 2010 23rd International Conference on VLSI Design.

Adit D. Singh, Walter W. Weber, 1995, Proceedings 13th IEEE VLSI Test Symposium.

Adit D. Singh, Phil Nigh, Gaurav Sinha, 1998, Proceedings 1998 IEEE International Symposium on Defect and Fault Tolerance in VLSI Systems (Cat. No.98EX223).

Adit D. Singh, Chao Han, Xi Qian, 2010, 2010 28th VLSI Test Symposium (VTS).

Adit D. Singh, Xi Qian, 2010, 2010 19th IEEE Asian Test Symposium.

Adit D. Singh, Ilia Polian, Jie Jiang, 2014, 2014 19th IEEE European Test Symposium (ETS).

Adit D. Singh, Florence Azaïs, Manuel J. Barragan Asian, 2015, 2015 IEEE 33rd VLSI Test Symposium (VTS).

Adit D. Singh, Chao Han, Virendra Singh, 2011, 2011 IEEE 43rd Southeastern Symposium on System Theory.

Adit D. Singh, C. Mani Krishna, Phil Nigh, 1997, Proceedings International Test Conference 1997.

Adit D. Singh, Gefu Xu, 2007, 20th International Conference on VLSI Design held jointly with 6th International Conference on Embedded Systems (VLSID'07).

Adit D. Singh, Matt Grady, Kathleen G. Purdy, 2006, IEEE Design & Test of Computers.

Adit D. Singh, Chintan Patel, Davide Appello, 2013, 2013 18th IEEE European Test Symposium (ETS).

Abhijit Chatterjee, Adit D. Singh, Joshua W. Wells, 2011, 2011 Asian Test Symposium.

Abhijit Chatterjee, Adit D. Singh, Abdulkadir Utku Diril, 2006, IEEE Transactions on Very Large Scale Integration (VLSI) Systems.

Abhijit Chatterjee, Adit D. Singh, Abdulkadir Utku Diril, 2005, ASP-DAC.

Adit D. Singh, Chao Han, 2014, 2014 IEEE 32nd VLSI Test Symposium (VTS).

Adit D. Singh, Sreejit Chakravarty, Virendra Singh, 2010, 2010 19th IEEE Asian Test Symposium.

Adit D. Singh, Victor P. Nelson, Thomas S. Barnett, 2003, IEEE Trans. Reliab..

Adit D. Singh, 2016, 2016 21th IEEE European Test Symposium (ETS).

Adit D. Singh, C. Mani Krishna, 1993, Digest of Papers Eleventh Annual 1993 IEEE VLSI Test Symposium.

Abhijit Chatterjee, Adit D. Singh, Xiangdong Xuan, 2003, Fourth International Symposium on Quality Electronic Design, 2003. Proceedings..

Adit D. Singh, Victor P. Nelson, Thomas S. Barnett, 2001, Proceedings 19th IEEE VLSI Test Symposium. VTS 2001.

Abhijit Chatterjee, Adit D. Singh, Maryam Ashouei, 2010, IEEE Transactions on Very Large Scale Integration (VLSI) Systems.

Abhijit Chatterjee, Adit D. Singh, Vivek De, 2005, 2005 International Conference on Computer Design.

Hee Yong Youn, Adit D. Singh, 1989, 1989 IEEE International Conference on Computer-Aided Design. Digest of Technical Papers.

Adit D. Singh, Gefu Xu, 2007, 2007 IEEE International Test Conference.

Hee Yong Youn, Adit D. Singh, 1991, IEEE Trans. Computers.

Ujjwal Guin, Anthony Skjellum, Adit D. Singh, 2018, 2018 31st International Conference on VLSI Design and 2018 17th International Conference on Embedded Systems (VLSID).

Adit D. Singh, Walter W. Weber, 1997, J. Electron. Test..

Adit D. Singh, Kewal K. Saluja, Virendra Singh, 2017, 2017 IEEE International Test Conference (ITC).

Adit D. Singh, Chao Han, Xi Qian, 2012, 2012 IEEE 30th VLSI Test Symposium (VTS).

Adit D. Singh, Thomas S. Barnett, 2006 .

Adit D. Singh, Chao Han, 2015, 2015 IEEE 33rd VLSI Test Symposium (VTS).

Abhijit Chatterjee, Adit D. Singh, Abdulkadir Utku Diril, 2005, 23rd IEEE VLSI Test Symposium (VTS'05).

Michail Maniatakos, Masahiro Fujita, Adit D. Singh, 2013, VTS.

Adit D. Singh, Thomas S. Barnett, A. Singh, 2003, International Test Conference, 2003. Proceedings. ITC 2003..

Adit D. Singh, Gefu Xu, B. Vinnakota, 2000, IEEE/ACM International Conference on Computer Aided Design. ICCAD - 2000. IEEE/ACM Digest of Technical Papers (Cat. No.00CH37140).

Abhijit Chatterjee, Adit D. Singh, Xi Qian, 2011, 2011 Asian Test Symposium.

Adit D. Singh, 2008, 2008 IEEE International Test Conference.

Abhijit Chatterjee, Ujjwal Guin, Adit D. Singh, 2018, 2018 IEEE 19th Latin-American Test Symposium (LATS).

Adit D. Singh, Victor P. Nelson, Thomas S. Barnett, 2001, Proceedings International Test Conference 2001 (Cat. No.01CH37260).

Vishwani D. Agrawal, Adit D. Singh, Sreekumar Menon, 2009, VTS.

Haihua Yan, Adit D. Singh, 2003, International Test Conference, 2003. Proceedings. ITC 2003..

Hee Yong Youn, Adit D. Singh, Jae Young Lee, 1993, FTCS-23 The Twenty-Third International Symposium on Fault-Tolerant Computing.

Bernd Becker, Adit D. Singh, 2017, ETS.

Abhijit Chatterjee, Adit D. Singh, Abdulkadir Utku Diril, 2005, IEEE Trans. Very Large Scale Integr. Syst..

Abhijit Chatterjee, Adit D. Singh, Sabyasachi Deyati, 2015, 2015 IEEE 24th Asian Test Symposium (ATS).

Abhijit Chatterjee, Adit D. Singh, Yuvraj Singh, 2004 .

Abhijit Chatterjee, Jacob A. Abraham, Christos A. Papachristou, 2009, 2009 15th IEEE International On-Line Testing Symposium.

Adit D. Singh, Victor P. Nelson, Thomas S. Barnett, 2001, Proceedings 2001 IEEE International Symposium on Defect and Fault Tolerance in VLSI Systems.

Abhijit Chatterjee, Miodrag Potkonjak, Adit D. Singh, 2013, 2013 IFIP/IEEE 21st International Conference on Very Large Scale Integration (VLSI-SoC).

Adit D. Singh, Matt Grady, Kathleen G. Purdy, 2002, Proceedings. International Test Conference.

Haihua Yan, Adit D. Singh, Gefu Xu, 2005, Sixth international symposium on quality electronic design (isqed'05).

Adit D. Singh, Matt Grady, Kathleen G. Purdy, 2002, Proceedings 20th IEEE VLSI Test Symposium (VTS 2002).

Hee Yong Youn, Adit D. Singh, 1987, ICPP.

Adit D. Singh, Ahmed Faraz, Kautalya Mishra, 2011, 2011 24th Internatioal Conference on VLSI Design.

Adit D. Singh, Gefu Xu, A. Singh, 2007, IET Comput. Digit. Tech..

Adit D. Singh, Christopher G. Knight, Victor P. Nelson, 1997, 1997 IEEE International Symposium on Defect and Fault Tolerance in VLSI Systems.

Adit D. Singh, Michael Gössel, Egor S. Sogomonyan, 2003, TODE.

Adit D. Singh, Michael Gössel, Egor S. Sogomonyan, 1998, Proceedings. 16th IEEE VLSI Test Symposium (Cat. No.98TB100231).

Adit D. Singh, Abhijit Chatterjee, Abdulkadir Utku Diril, 2004 .

Abhijit Chatterjee, Adit D. Singh, Abdulkadir Utku Diril, 2004, Proceedings. SBCCI 2004. 17th Symposium on Integrated Circuits and Systems Design (IEEE Cat. No.04TH8784).

Adit D. Singh, Kewal K. Saluja, Virendra Singh, 2010, Proceedings of 2010 IEEE International Symposium on Circuits and Systems.

Adit D. Singh, 2014, 2014 19th IEEE European Test Symposium (ETS).

Adit D. Singh, David R. Lakin, 1999, International Test Conference 1999. Proceedings (IEEE Cat. No.99CH37034).

Adit D. Singh, Yu Wang, 2015, 2015 28th International Conference on VLSI Design.

Abhijit Chatterjee, Adit D. Singh, Ravi Tej Uppu, 2013, 2013 26th International Conference on VLSI Design and 2013 12th International Conference on Embedded Systems.

Adit D. Singh, Ilia Polian, Ravi Tej Uppu, 2014, 2014 27th International Conference on VLSI Design and 2014 13th International Conference on Embedded Systems.

Adit D. Singh, Jason P. Hurst, 1994, Proceedings of IEEE VLSI Test Symposium.

Adit D. Singh, Kewal K. Saluja, Virendra Singh, 2017, 2017 18th IEEE Latin American Test Symposium (LATS).

Adit D. Singh, Gefu Xu, 2006, 24th IEEE VLSI Test Symposium.

Abhijit Chatterjee, Adit D. Singh, Sabyasachi Deyati, 2014, 2014 IEEE 23rd Asian Test Symposium.

Adit D. Singh, Kewal K. Saluja, Virendra Singh, 2010, 2010 15th IEEE European Test Symposium.

Israel Koren, Adit D. Singh, 1990, Computer.

Ujjwal Guin, Adit D. Singh, Ziqi Zhou, 2017, 2017 IEEE 35th VLSI Test Symposium (VTS).

Adit D. Singh, C. Mani Krishna, 1992, [1992] Digest of Papers. FTCS-22: The Twenty-Second International Symposium on Fault-Tolerant Computing.

Kenneth M. Butler, Erik Jan Marinissen, Adit D. Singh, 2010, 2010 Design, Automation & Test in Europe Conference & Exhibition (DATE 2010).

Masahiro Fujita, Adit D. Singh, Virendra Singh, 2012, VDAT.

Sudhakar M. Reddy, Bernd Becker, Adit D. Singh, 2018, IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems.

Adit D. Singh, 2008, 21st International Conference on VLSI Design (VLSID 2008).

Adit D. Singh, Michael Gössel, Egor S. Sogomonyan, 2001, Proceedings 19th IEEE VLSI Test Symposium. VTS 2001.

Adit D. Singh, Virendra Singh, Mohammed Abdul Razzaq, 2011, 2011 Asian Test Symposium.

Adit D. Singh, Michael Gössel, Egor S. Sogomonyan, 1999, International Test Conference 1999. Proceedings (IEEE Cat. No.99CH37034).

Abhijit Chatterjee, Adit D. Singh, Maryam Ashouei, 2008, 21st International Conference on VLSI Design (VLSID 2008).

Adit D. Singh, Michael Gössel, Egor S. Sogomonyan, 2002, Proceedings 20th IEEE VLSI Test Symposium (VTS 2002).

Hee Yong Youn, Adit D. Singh, 1989, IEEE Trans. Computers.

Adit D. Singh, 2015, 2015 16th Latin-American Test Symposium (LATS).

Adit D. Singh, C. Mani Krishna, 1991, 1991, Proceedings. International Test Conference.

Ujjwal Guin, Adit D. Singh, Wendong Wang, 2019, 2019 IEEE International Symposium on Hardware Oriented Security and Trust (HOST).

Vishwani D. Agrawal, Ujjwal Guin, Adit D. Singh, 2019, 2019 32nd International Conference on VLSI Design and 2019 18th International Conference on Embedded Systems (VLSID).

Erik G. Larsson, Adit D. Singh, Jaynarayan T. Tudu, 2009 .

Adit D. Singh, 2009, 2009 24th IEEE International Symposium on Defect and Fault Tolerance in VLSI Systems.

Adit D. Singh, Jason P. Hurst, 1995, Proceedings of the 8th International Conference on VLSI Design.

Adit D. Singh, Virendra Singh, K. G. Deepak, 2009, 2009 Asian Test Symposium.

Sudhakar M. Reddy, Bernd Becker, Adit D. Singh, 2017, Design, Automation & Test in Europe Conference & Exhibition (DATE), 2017.

Adit D. Singh, Balapradeep Gadamsetti, 2010, 2010 IEEE Asia Pacific Conference on Circuits and Systems.

Haihua Yan, Adit D. Singh, 2005, 18th International Conference on VLSI Design held jointly with 4th International Conference on Embedded Systems Design.

Adit D. Singh, Michael Gössel, Egor S. Sogomonyan, 1999, J. Electron. Test..

Abhijit Chatterjee, Adit D. Singh, Abdulkadir Utku Diril, 2005, J. Low Power Electron..

Abhijit Chatterjee, Francky Catthoor, Adit D. Singh, 2018, 2018 IEEE 23rd European Test Symposium (ETS).

Abhijit Chatterjee, Adit D. Singh, Debesh Bhatta, 2014, 2014 27th International Conference on VLSI Design and 2014 13th International Conference on Embedded Systems.

Adit D. Singh, Chao Han, 2014, 2014 International Test Conference.

Abhijit Chatterjee, Adit D. Singh, Abdulkadir Utku Diril, 2004, Proceedings. 10th IEEE International On-Line Testing Symposium.

Sudhakar M. Reddy, Bernd Becker, Adit D. Singh, 2017, 2017 IEEE 35th VLSI Test Symposium (VTS).

Adit D. Singh, Bashir M. Al-Hashimi, Dimitris Gizopoulos, 2006, J. Electron. Test..

Adit D. Singh, 2016, 2016 29th International Conference on VLSI Design and 2016 15th International Conference on Embedded Systems (VLSID).

Adit D. Singh, 2005, 14th Asian Test Symposium (ATS'05).

Krishnendu Chakrabarty, Adit D. Singh, 2013, ATS 2013.

Adit D. Singh, Gefu Xu, Haihua Yan, 2005, 14th Asian Test Symposium (ATS'05).

Adit D. Singh, Chao Han, 2013, 2013 22nd Asian Test Symposium.

Adit D. Singh, 1995, Proceedings of International Workshop on Defect and Fault Tolerance in VLSI.

Adit D. Singh, C. Mani Krishna, 1992, Digest of Papers. 1992 IEEE VLSI Test Symposium.

Adit D. Singh, C. Mani Krishna, 1989, [1989] The Nineteenth International Symposium on Fault-Tolerant Computing. Digest of Papers.