Anuj Pathania

发表

Muhammad Shafique, Jörg Henkel, Anuj Pathania, 2017, ACM Trans. Archit. Code Optim..

Muhammad Shafique, Jörg Henkel, Jian-Jia Chen, 2017, IEEE Transactions on Parallel and Distributed Systems.

Heba Khdr, Muhammad Shafique, Jörg Henkel, 2018, 2018 55th ACM/ESDA/IEEE Design Automation Conference (DAC).

Muhammad Shafique, Jörg Henkel, Anuj Pathania, 2018, 2018 IEEE 12th International Symposium on Embedded Multicore/Many-core Systems-on-Chip (MCSoC).

Jörg Henkel, Anuj Pathania, 2019, IEEE Embedded Systems Letters.

Jorg Henkel, Anuj Pathania, Tulika Mitra, 2020, IEEE Transactions on Computers.

Heba Khdr, Muhammad Shafique, Jörg Henkel, 2017, Design, Automation & Test in Europe Conference & Exhibition (DATE), 2017.

Jürgen Teich, Heba Khdr, Jörg Henkel, 2017, IEEE Transactions on Computers.

Anuj Pathania, Siqi Wang, Tulika Mitra, 2020, IEEE Design & Test.

Anuj Pathania, Tulika Mitra, Thannirmalai Somu Muthukaruppan, 2014, ASPLOS.

Anuj Pathania, Tulika Mitra, Alok Prakash, 2017 .

Muhammad Shafique, Jörg Henkel, Anuj Pathania, 2016, 2016 53nd ACM/EDAC/IEEE Design Automation Conference (DAC).

Martin Rapp, Anuj Pathania, Andreas Herkersdorf, 2020, IEEE Transactions on Computers.

Muhammad Shafique, Jörg Henkel, Anuj Pathania, 2017, IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems.

Muhammad Shafique, Jörg Henkel, Anuj Pathania, 2015, 2015 IEEE/ACM International Symposium on Low Power Electronics and Design (ISLPED).

Jörg Henkel, Anuj Pathania, J. Henkel, 2018, 2018 Design, Automation & Test in Europe Conference & Exhibition (DATE).

Jorg Henkel, Anuj Pathania, Andreas Herkersdorf, 2020, IEEE Transactions on Computers.

Anuj Pathania, Tulika Mitra, Alok Prakash, 2014, 2014 51st ACM/EDAC/IEEE Design Automation Conference (DAC).

Heba Khdr, Muhammad Shafique, Jörg Henkel, 2018, 2018 55th ACM/ESDA/IEEE Design Automation Conference (DAC).

Anuj Pathania, Vanchinathan Venkataramani, Tulika Mitra, 2019, SAMOS.

Muhammad Shafique, Jörg Henkel, Anuj Pathania, 2016, 2016 Design, Automation & Test in Europe Conference & Exhibition (DATE).

Anuj Pathania, Tulika Mitra, Siqi Wang, 2019, IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems.

Anuj Pathania, Alok Prakash, Tulika Mitra, 2015, 2015 52nd ACM/EDAC/IEEE Design Automation Conference (DAC).

Anuj Pathania, Vanchinathan Venkataramani, Tulika Mitra, 2020, 2020 Design, Automation & Test in Europe Conference & Exhibition (DATE).

Anuj Pathania, Tulika Mitra, Manupa Karunarathne, 2019, ACM Transactions on Embedded Computing Systems.

Lothar Thiele, Anuj Pathania, Tulika Mitra, 2021, 2021 Design, Automation & Test in Europe Conference & Exhibition (DATE).

Anuj Pathania, Andy D. Pimentel, Sobhan Niknam, 2021, 2021 IEEE 39th International Conference on Computer Design (ICCD).

Anuj Pathania, Tulika Mitra, Xianzhang Chen, 2021, IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems.

Jörg Henkel, Anuj Pathania, Tulika Mitra, 2020, IEEE Transactions on Computers.