H.-S. Philip Wong

发表

Shimeng Yu, H.-S. Philip Wong, Heng-Yuan Lee, 2012, Proceedings of the IEEE.

Shimeng Yu, H.-S. Philip Wong, Peng Huang, 2015, 2015 IEEE International Conference on Digital Signal Processing (DSP).

H.-S. Philip Wong, Vijay Parameshwaran, Xiaoqing Xu, 2013, Photonics West - Optoelectronic Materials and Devices.

Y. Wu, H.-S. Philip Wong, Peng Huang, 2015, 2015 Design, Automation & Test in Europe Conference & Exhibition (DATE).

H.-S. Philip Wong, 2006 .

Chung Lam, H.-S. Philip Wong, Sukru Burc Eryilmaz, 2014, Front. Neurosci..

H.-S. Philip Wong, Yang Hong, Albert Lin, 2010, Advanced Lithography.

H.-S. Philip Wong, He Yi, Chris Bencher, 2012, Advanced Lithography.

Hai Wei, H.-S. Philip Wong, Subhasish Mitra, 2014, Proceedings of the IEEE 2014 Custom Integrated Circuits Conference.

H.-S. Philip Wong, Jie Deng, Nishant Patil, 2008, IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems.

H.-S. Philip Wong, Xiangyu Chen, Shinobu Fujita, 2010, Design Automation Conference.

Shimeng Yu, H.-S. Philip Wong, Duygu Kuzum, 2014, 2014 IEEE International Symposium on Circuits and Systems (ISCAS).

H.-S. Philip Wong, Jie Deng, Gordon C. Wan, 2006, IEEE/ACM International Conference on Computer-Aided Design.

Gert Cauwenberghs, H.-S. Philip Wong, Weier Wan, 2020, ICONS.

Narayanan Vijaykrishnan, Gert Cauwenberghs, H.-S. Philip Wong, 2014, 2014 Design, Automation & Test in Europe Conference & Exhibition (DATE).

H.-S. Philip Wong, Subhasish Mitra, Haitong Li, 2017, 2017 International Symposium on VLSI Technology, Systems and Application (VLSI-TSA).

Yuan Taur, H.-S. Philip Wong, David J. Frank, 1995, IBM J. Res. Dev..

H.-S. Philip Wong, Jiale Liang, Duygu Kuzum, 2012, Proceedings of the IEEE 2012 Custom Integrated Circuits Conference.

Jan M. Rabaey, H.-S. Philip Wong, Mohamed M. Sabry, 2016, 2016 IEEE International Electron Devices Meeting (IEDM).

H.-S. Philip Wong, Martin D. F. Wong, Daifeng Guo, 2017, Advanced Lithography.

Kunle Olukotun, Franz Franchetti, Jan M. Rabaey, 2015, Computer.

Eric Pop, Miguel Munoz-Rojo, Connor McClellan, 2018, 2018 76th Device Research Conference (DRC).

H.-S. Philip Wong, Daniele Ielmini, D. Ielmini, 2018, Nature Electronics.

Albert J. P. Theuwissen, H.-S. Philip Wong, Cynthia Yin, 1998, IEEE Micro.

H.-S. Philip Wong, Paul N. Whatmough, Mudit Bhargava, 2019, 2019 56th ACM/IEEE Design Automation Conference (DAC).

H.-S. Philip Wong, Subhasish Mitra, Roger T. Howe, 2009, 2009 IEEE/ACM International Conference on Computer-Aided Design - Digest of Technical Papers.

H.-S. Philip Wong, Wenqiang Zhang, Dong Wu, 2017, 2017 IEEE International Electron Devices Meeting (IEDM).

Hai Wei, H.-S. Philip Wong, Subhasish Mitra, 2013, 2013 50th ACM/EDAC/IEEE Design Automation Conference (DAC).

H.-S. Philip Wong, Abbas El Gamal, Keith Fife, 2006, IEEE Custom Integrated Circuits Conference 2006.

H.-S. Philip Wong, Zigang Xiao, He Yi, 2015, 2015 52nd ACM/EDAC/IEEE Design Automation Conference (DAC).

H.-S. Philip Wong, Subhasish Mitra, Haitong Li, 2017, IEEE Transactions on Circuits and Systems I: Regular Papers.

H.-S. Philip Wong, H. Wong, 2006, 7th International Symposium on Quality Electronic Design (ISQED'06).

H.-S. Philip Wong, Nishant Patil, Subhasish Mitra, 2010, 2010 Design, Automation & Test in Europe Conference & Exhibition (DATE 2010).

H.-S. Philip Wong, Xiangyu Chen, Jiale Liang, 2012, SLIP '12.

Chung Lam, Gert Cauwenberghs, H.-S. Philip Wong, 2016, IEEE Transactions on Electron Devices.

Saurabh Sinha, H.-S. Philip Wong, Brian Cline, 2016, 2016 IEEE International Electron Devices Meeting (IEDM).

Shimeng Yu, H.-S. Philip Wong, Byoungil Lee, 2010, 2010 10th IEEE International Conference on Solid-State and Integrated Circuit Technology.

H.-S. Philip Wong, Li-Wen Chang, 2006, SPIE Advanced Lithography.

Yuelin Du, H.-S. Philip Wong, Zigang Xiao, 2015, The 20th Asia and South Pacific Design Automation Conference.

H.-S. Philip Wong, Abbas El Gamal, Keith Fife, 2008, IEEE Journal of Solid-State Circuits.

H.-S. Philip Wong, Subhasish Mitra, Gage Hills, 2016, 2016 International Conference on Embedded Computer Systems: Architectures, Modeling and Simulation (SAMOS).

Hai Wei, H.-S. Philip Wong, Lan Wei, 2012, IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems.

Shimeng Yu, H.-S. Philip Wong, Byoungil Lee, 2011, 2011 3rd IEEE International Memory Workshop (IMW).

Giovanni De Micheli, H.-S. Philip Wong, Pierre-Emmanuel Gaillardon, 2014, JETC.

H.-S. Philip Wong, H. Wong, 2002, IBM J. Res. Dev..

H.-S. Philip Wong, Deji Akinwande, 2010 .

Giovanni De Micheli, H.-S. Philip Wong, Nishant Patil, 2010, Design Automation Conference.

H.-S. Philip Wong, Nishant Patil, Subhasish Mitra, 2010, FPGA '10.

Jan M. Rabaey, H.-S. Philip Wong, Abbas Rahimi, 2018, IEEE Journal of Solid-State Circuits.

Jan M. Rabaey, H.-S. Philip Wong, Abbas Rahimi, 2018, ArXiv.

Georges G. E. Gielen, H.-S. Philip Wong, Subhasish Mitra, 2013, 2013 IEEE International Solid-State Circuits Conference Digest of Technical Papers.

H.-S. Philip Wong, S. Simon Wong, Jeongha Park, 2010, 2010 11th International Symposium on Quality Electronic Design (ISQED).

H.-S. Philip Wong, Farzan Fallah, John Watkins, 2013, IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems.

H.-S. Philip Wong, Jie Deng, Lan Wei, 2009, 2009 International Conference on Simulation of Semiconductor Processes and Devices.

Klara Nahrstedt, H.-S. Philip Wong, Naresh Shanbhag, 2020, ArXiv.

H.-S. Philip Wong, Subhasish Mitra, Chen Chen, 2012, 17th Asia and South Pacific Design Automation Conference.

Ching-Te Chuang, H.-S. Philip Wong, Keunwoo Kim, 2007, 8th International Symposium on Quality Electronic Design (ISQED'07).

H.-S. Philip Wong, David Atienza, Subhasish Mitra, 2016, 2016 International Conference on Hardware/Software Codesign and System Synthesis (CODES+ISSS).

H.-S. Philip Wong, Duygu Kuzum, Rakesh G. D. Jeyasingh, 2011, 2011 International Electron Devices Meeting.

Chung Lam, H.-S. Philip Wong, Bruno A. Olshausen, 2017, ArXiv.

Nagarajan Raghavan, Jordi Suñé, H.-S. Philip Wong, 2018, Advanced Electronic Materials.

Yuan Zhang, H.-S. Philip Wong, Byoungil Lee, 2009, 2009 IEEE International Symposium on Circuits and Systems.

H.-S. Philip Wong, Li-Wen Chang, Xin-Yu Bao, 2010, Advanced Lithography.

H.-S. Philip Wong, Christos Kozyrakis, Mingyu Gao, 2017, 2017 International Conference on Hardware/Software Codesign and System Synthesis (CODES+ISSS).

H.-S. Philip Wong, Edith Beigné, Pascal Vivet, 2019, 2019 IEEE International Solid- State Circuits Conference - (ISSCC).

H.-S. Philip Wong, Subhasish Mitra, S. Simon Wong, 2015, IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems.

Jan M. Rabaey, H.-S. Philip Wong, Abbas Rahimi, 2018, 2018 IEEE International Solid - State Circuits Conference - (ISSCC).

Eric Pop, Eilam Yalon, H.-S. Philip Wong, 2018, 2018 76th Device Research Conference (DRC).

Hai Wei, Georges G. E. Gielen, H.-S. Philip Wong, 2014, IEEE Journal of Solid-State Circuits.

Dongjin Lee, H.-S. Philip Wong, Shosuke Fujii, 2018, 2018 IEEE Symposium on VLSI Technology.

H.-S. Philip Wong, Yuhan Shi, Duygu Kuzum, 2017 .

H.-S. Philip Wong, Jie Deng, Nishant Patil, 2007, 2007 44th ACM/IEEE Design Automation Conference.

H.-S. Philip Wong, Mark Lundstrom, M. Lundstrom, 2013 .

H.-S. Philip Wong, Subhasish Mitra, Gage Hills, 2018, Beyond-CMOS Technologies for Next Generation Computer Design.

Eric Pop, Kirby K. H. Smithe, H.-S. Philip Wong, 2019, Nature Electronics.

Shimeng Yu, H.-S. Philip Wong, Sukru Burc Eryilmaz, 2015, 2015 IEEE International Electron Devices Meeting (IEDM).

H.-S. Philip Wong, 2018, 2018 76th Device Research Conference (DRC).

Shimeng Yu, Bing Chen, H.-S. Philip Wong, 2014, 2014 IEEE International Symposium on Circuits and Systems (ISCAS).

H.-S. Philip Wong, Luping Shi, Wenqiang Zhang, 2017, Nature Communications.

Georges G. E. Gielen, H.-S. Philip Wong, Subhasish Mitra, 2013, 2013 50th ACM/EDAC/IEEE Design Automation Conference (DAC).

H.-S. Philip Wong, Simone Raoux, Mehdi Asheghi, 2010, Proceedings of the IEEE.

Shimeng Yu, H.-S. Philip Wong, Zheng Fang, 2013, Front. Neurosci..

Yuelin Du, H.-S. Philip Wong, Zigang Xiao, 2014, 2014 51st ACM/EDAC/IEEE Design Automation Conference (DAC).

Georges G. E. Gielen, H.-S. Philip Wong, Subhasish Mitra, 2016, IEEE Transactions on Circuits and Systems I: Regular Papers.

Yuelin Du, H.-S. Philip Wong, Zigang Xiao, 2014, Advanced Lithography.

H.-S. Philip Wong, Subhasish Mitra, Gage Hills, 2013, Nature.

Frederic Boeuf, H.-S. Philip Wong, Dimitri A. Antoniadis, 2009 .

H.-S. Philip Wong, Jeffrey Bokor, James D. Plummer, 2020, Proc. IEEE.

Yuelin Du, H.-S. Philip Wong, He Yi, 2013, 2013 IEEE/ACM International Conference on Computer-Aided Design (ICCAD).

H.-S. Philip Wong, Scott W. Fong, Christopher M. Neumann, 2017, IEEE Transactions on Electron Devices.

Gert Cauwenberghs, H.-S. Philip Wong, Weier Wan, 2016, 2016 17th International Symposium on Quality Electronic Design (ISQED).

Eric Pop, H.-S. Philip Wong, Michael T. Niemier, 2017, 2017 54th ACM/EDAC/IEEE Design Automation Conference (DAC).

H.-S. Philip Wong, Martin D. F. Wong, Daifeng Guo, 2016 .

H.-S. Philip Wong, Nishant Patil, Subhasish Mitra, 2011, IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems.

Geert Vandenberghe, H.-S. Philip Wong, Wim Dehaene, 2016, SPIE Advanced Lithography.

Chung Lam, H.-S. Philip Wong, Sukru Burc Eryilmaz, 2013, 2013 IEEE International Electron Devices Meeting.

Xuan Zeng, Zhen Cao, H.-S. Philip Wong, 2010, 2010 IEEE/ACM International Symposium on Nanoscale Architectures.

H.-S. Philip Wong, Xiaoying Shen, Roger T. Howe, 2011, 2011 International Conference on Simulation of Semiconductor Processes and Devices.

H.-S. Philip Wong, Lan Wei, Anthony S. Oates, 2011, 2011 Proceedings of the ESSCIRC (ESSCIRC).

Hai Wei, H.-S. Philip Wong, Subhasish Mitra, 2015, IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems.

Jan M. Rabaey, Naresh R. Shanbhag, Eric Pop, 2017, 2017 54th ACM/EDAC/IEEE Design Automation Conference (DAC).

H.-S. Philip Wong, Abbas El Gamal, Keith Fife, 2008, 2008 IEEE International Solid-State Circuits Conference - Digest of Technical Papers.

H.-S. Philip Wong, Soyoung Kim, S. Simon Wong, 2013, IEEE Transactions on Very Large Scale Integration (VLSI) Systems.

Shimeng Yu, Bing Chen, H.-S. Philip Wong, 2014, 2014 IEEE International Symposium on Circuits and Systems (ISCAS).

H.-S. Philip Wong, Weier Wan, Subhasish Mitra, 2018, 2018 IEEE International Symposium on Circuits and Systems (ISCAS).

Hai Wei, H.-S. Philip Wong, Lan Wei, 2011, 2011 IEEE/ACM International Conference on Computer-Aided Design (ICCAD).

H.-S. Philip Wong, Li-Wen Chang, Marissa A. Caldwell, 2008, SPIE Advanced Lithography.

Hai Wei, H.-S. Philip Wong, Subhasish Mitra, 2013, 2013 Design, Automation & Test in Europe Conference & Exhibition (DATE).

H.-S. Philip Wong, Subhasish Mitra, Chen Chen, 2012, 2012 Design, Automation & Test in Europe Conference & Exhibition (DATE).

H.-S. Philip Wong, Bruno A. Olshausen, Jesse Engel, 2018, 2018 Data Compression Conference.

Frederic Boeuf, Thomas Skotnicki, H.-S. Philip Wong, 2009, 2009 International Symposium on VLSI Technology, Systems, and Applications.

H.-S. Philip Wong, Chih-Cheng Chang, Tuo-Hung Hou, 2017, 2017 IEEE International Electron Devices Meeting (IEDM).

Hai Wei, H.-S. Philip Wong, Mohamed M. Sabry, 2015, 2015 Design, Automation & Test in Europe Conference & Exhibition (DATE).

H.-S. Philip Wong, Edith Beigne, Elisa Vianello, 2019, IEEE Transactions on Electron Devices.

Georges G. E. Gielen, H.-S. Philip Wong, Subhasish Mitra, 2015, 2015 IEEE International Symposium on Circuits and Systems (ISCAS).

H.-S. Philip Wong, 2002, IBM J. Res. Dev..

H.-S. Philip Wong, Peng Huang, Jinfeng Kang, 2015, The 20th Asia and South Pacific Design Automation Conference.

Yuelin Du, H.-S. Philip Wong, Zigang Xiao, 2014, Advanced Lithography.

H.-S. Philip Wong, Nishant Patil, Subhasish Mitra, 2009, 2009 46th ACM/IEEE Design Automation Conference.

H.-S. Philip Wong, Jie Zhang, He Yi, 2012, Advanced Lithography.

H.-S. Philip Wong, Lan Wei, Jieying Luo, 2010, 2010 International Electron Devices Meeting.

Mohamed-Slim Alouini, Tareq Y. Al-Naffouri, Elias Yaacoub, 2019, IEEE Trans. Autom. Control..

H.-S. Philip Wong, Jie Deng, Nishant Patil, 2007, 2007 IEEE International Solid-State Circuits Conference. Digest of Technical Papers.

Christoforos E. Kozyrakis, H.-S. Philip Wong, Mingyu Gao, 2017, CODES+ISSS.

H.-S. Philip Wong, Byoungil Lee, H. Wong, 2010, Proceedings of 2010 International Symposium on VLSI Technology, System and Application.

H.-S. Philip Wong, Delia J. Milliron, Marissa A. Caldwell, 2011, 2011 Proceedings of the European Solid-State Device Research Conference (ESSDERC).

Eric Pop, Kirby K. H. Smithe, H.-S. Philip Wong, 2017, 2017 IEEE International Electron Devices Meeting (IEDM).

Gary S. Ditlow, H.-S. Philip Wong, Paul M. Solomon, 2003 .

Hai Wei, H.-S. Philip Wong, Subhasish Mitra, 2009, 2009 IEEE International Electron Devices Meeting (IEDM).

Eric Pop, H.-S. Philip Wong, Kenneth E. Goodson, 2007 .

H.-S. Philip Wong, Yoshio Nishi, Hong-Yu Chen, 2016, 2016 IEEE International Electron Devices Meeting (IEDM).

Eric Pop, Eilam Yalon, H.-S. Philip Wong, 2019, Journal of Applied Physics.

Jing Kong, H.-S. Philip Wong, Shinobu Fujita, 2009, 2009 IEEE International Electron Devices Meeting (IEDM).

H.-S. Philip Wong, H. Wong, 2001 .

Hai Wei, Jie Zhang, H.-S. Philip Wong, 2011, 2011 International Electron Devices Meeting.

H.-S. Philip Wong, Jie Deng, Islamshah Amlani, 2006, 2006 International Electron Devices Meeting.

Eric Pop, H.-S. Philip Wong, Mario Lanza, 2018, Nature Electronics.

H.-S. Philip Wong, Deji Akinwande, H. Wong, 2010 .

Kwang-Ting Cheng, H.-S. Philip Wong, Tsung-Ching Huang, 2019, Nature Communications.

Tao Wang, H.-S. Philip Wong, Alex Yoon, 2016, 2016 IEEE International Electron Devices Meeting (IEDM).

Hai Wei, H.-S. Philip Wong, Subhasish Mitra, 2011, 2011 International Electron Devices Meeting.

H.-S. Philip Wong, Krishna C. Saraswat, Aneesh Nainani, 2011 .

H.-S. Philip Wong, Li-Wen Chang, Clement H. Wann, 2009, 2009 IEEE International Electron Devices Meeting (IEDM).

H.-S. Philip Wong, Huixiong Dai, Yongmei Chen, 2011, 2011 International Electron Devices Meeting.

Francky Catthoor, H.-S. Philip Wong, Iuliana Radu, 2018, IEEE Transactions on Nanotechnology.

H.-S. Philip Wong, Scott W. Fong, Chris M. Neumann, 2017, 2017 IEEE International Memory Workshop (IMW).

Eric Pop, Eilam Yalon, H.-S. Philip Wong, 2017, IEEE Transactions on Electron Devices.

H.-S. Philip Wong, Joo-Tae Moon, Yuan Zhang, 2009, 2009 IEEE International Electron Devices Meeting (IEDM).

H.-S. Philip Wong, Xiyuan Chen, Zhenan Bao, 2016, Journal of the American Chemical Society.

H.-S. Philip Wong, Subhasish Mitra, Gage Hills, 2017, ACS nano.

H.-S. Philip Wong, Jinfeng Kang, Lifeng Liu, 2019, IEEE Electron Device Letters.

H.-S. Philip Wong, Jie Deng, Albert Lin, 2009 .

H.-S. Philip Wong, Yoshio Nishi, Hong-Yu Chen, 2017, IEEE Electron Device Letters.

H.-S. Philip Wong, Jiale Liang, H. Wong, 2010, 2010 IEEE International Interconnect Technology Conference.

H.-S. Philip Wong, Simone Raoux, Delia J. Milliron, 2010 .

H.-S. Philip Wong, Deji Akinwande, Jiale Liang, 2008 .

H.-S. Philip Wong, Shosuke Fujii, Mario Lanza, 2018, IEEE Electron Device Letters.

H.-S. Philip Wong, Gerard Ghibaudo, Mireille Mouis, 2011, 2011 21st International Conference on Noise and Fluctuations.

H.-S. Philip Wong, David J. Frank, Leland Chang, 2009, 2009 IEEE International Electron Devices Meeting (IEDM).

H.-S. Philip Wong, H. Wong, Zhiping Zhang, 2013, IEEE Electron Device Letters.

H.-S. Philip Wong, Byoungil Lee, Mehdi Asheghi, 2010, 2010 IEEE International Reliability Physics Symposium.