Michael S. Floyd

发表

H. Shen, Amir Nahir, Manoj Dusanapudi, 2015, IBM J. Res. Dev..

Timothy J. Slegel, Charles Lefurgy, Malcolm Allen-Ware, 2015, IBM J. Res. Dev..

Michael S. Floyd, Alan J. Drake, Richard L. Willaman, 2012, 2012 Symposium on VLSI Circuits (VLSIC).

Gary D. Carpenter, Michael S. Floyd, Robert M. Senger, 2013, International Symposium on Low Power Electronics and Design (ISLPED).

Michael S. Floyd, Kevin Reick, Michael J. Mack, 2007, 2007 IEEE Hot Chips 19 Symposium (HCS).

Soraya Ghiasi, Tom W. Keller, Freeman L. Rawson, 2007, IBM J. Res. Dev..

Soraya Ghiasi, Gary D. Carpenter, Tuyet Nguyen, 2007, 2007 IEEE International Solid-State Circuits Conference. Digest of Technical Papers.

Bishop Brock, Karthick Rajamani, Malcolm Allen-Ware, 2011, IBM J. Res. Dev..

Bülent Abali, Michael S. Floyd, Ronald N. Kalla, 2018, IBM J. Res. Dev..

Michael S. Floyd, Kevin Reick, Douglas C. Bossen, 2002, IBM J. Res. Dev..

Freeman L. Rawson, Malcolm Allen-Ware, Juan C. Rubio, 2007, IBM J. Res. Dev..

Bishop Brock, Karthick Rajamani, Charles Lefurgy, 2011, IEEE Micro.

Karthick Rajamani, Victor V. Zyuban, Charles Lefurgy, 2013, IBM J. Res. Dev..

Bishop Brock, Karthick Rajamani, John B. Carter, 2010, HPCA - 16 2010 The Sixteenth International Symposium on High-Performance Computer Architecture.

Rahul M. Rao, Juergen Pille, Michael S. Floyd, 2017, 2017 IEEE International Solid-State Circuits Conference (ISSCC).

Balaram Sinharoy, Michael S. Floyd, Ronald N. Kalla, 2010, 2009 IEEE Hot Chips 21 Symposium (HCS).

Malcolm Allen-Ware, Alper Buyuktosunoglu, Phillip Restle, 2017, 2017 IEEE International Solid-State Circuits Conference (ISSCC).

Bishop Brock, John B. Carter, Charles Lefurgy, 2013, IEEE Micro.

Alper Buyuktosunoglu, Phillip Restle, Pierce I-Jen Chuang, 2018, 2018 IEEE International Solid - State Circuits Conference - (ISSCC).

Bishop Brock, Heather Hanson, Srinivasan Ramani, 2013, 2013 IEEE International Symposium on Performance Analysis of Systems and Software (ISPASS).

Bishop Brock, John B. Carter, Charles Lefurgy, 2011, 2011 44th Annual IEEE/ACM International Symposium on Microarchitecture (MICRO).

Balaram Sinharoy, Mike Lee, Phillip Restle, 2004, Proceedings. 41st Design Automation Conference, 2004..

Phillip Restle, Michael S. Floyd, Christos Vezyrtzis, 2017, 2017 IEEE International Solid-State Circuits Conference (ISSCC).

Bishop Brock, Karthick Rajamani, Charles Lefurgy, 2012, 2012 45th Annual IEEE/ACM International Symposium on Microarchitecture.

Jingwen Leng, Vijay Janapa Reddi, Charles Lefurgy, 2015, 2015 48th Annual IEEE/ACM International Symposium on Microarchitecture (MICRO).

Pradip Bose, José E. Moreira, Balaram Sinharoy, 2021, 2021 ACM/IEEE 48th Annual International Symposium on Computer Architecture (ISCA).