Igor L. Markov

发表

Jarrod A. Roy, Farinaz Koushanfar, Igor L. Markov, 2008, 2008 45th ACM/IEEE Design Automation Conference.

Igor L. Markov, Karem A. Sakallah, Fadi A. Aloul, 2002, IEEE/ACM International Conference on Computer Aided Design, 2002. ICCAD 2002..

Andrew B. Kahng, Igor L. Markov, Andrew E. Caldwell, 2000, IEEE Trans. Comput. Aided Des. Integr. Circuits Syst..

Igor L. Markov, Andrew A. Kennings, A. Kennings, 2008, Encyclopedia of Algorithms.

Andrew B. Kahng, Igor L. Markov, Andrew E. Caldwell, 1999, ALENEX.

Andrew B. Kahng, Igor L. Markov, Andrew E. Caldwell, 1999, ISPD '99.

Jarrod A. Roy, Igor L. Markov, Saurabh N. Adya, 2006, IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems.

John P. Hayes, Igor L. Markov, George F. Viamontes, 2009 .

John P. Hayes, Igor L. Markov, Smita Krishnaswamy, 2007, IEEE Design & Test of Computers.

Igor L. Markov, Patrick H. Madden, Paul G. Villarrubia, 2004, IEEE Trans. Comput. Aided Des. Integr. Circuits Syst..

Olivier Coudert, Christoph Meinel, Igor L. Markov, 2000, DAC.

Andrew B. Kahng, Igor L. Markov, Sherief Reda, 2004, GLSVLSI '04.

Igor L. Markov, Valeria Bertacco, Stephen M. Plaza, 2008, 2008 Design, Automation and Test in Europe.

Yu Cao, Dennis Sylvester, Andrew B. Kahng, 2003, IEEE Trans. Very Large Scale Integr. Syst..

Igor L. Markov, Karem A. Sakallah, Hadi Katebi, 2012, Turing-100.

John P. Hayes, Igor L. Markov, George F. Viamontes, 2005, Design, Automation and Test in Europe.

Igor L. Markov, Shigeru Yamashita, I. Markov, 2009, 2010 IEEE/ACM International Symposium on Nanoscale Architectures.

Igor L. Markov, Gi-Joon Nam, Charles J. Alpert, 2008, IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems.

Igor L. Markov, Vivek V. Shende, I. Markov, 2008, Quantum Inf. Comput..

John P. Hayes, Igor L. Markov, George F. Viamontes, 2009 .

Igor L. Markov, Alan Mishchenko, Kai-Hui Chang, 2010, TODE.

Igor L. Markov, Raj Rao Nadakuditi, I. Markov, 2013, TODE.

Igor L. Markov, Stephen S. Bullock, I. Markov, 2004, Quantum Inf. Comput..

Igor L. Markov, Valeria Bertacco, Kai-hui Chang, 2007, ICCAD 2007.

Dongjin Lee, Igor L. Markov, 2010, 2010 Design, Automation & Test in Europe Conference & Exhibition (DATE 2010).

Dongjin Lee, Igor L. Markov, 2012, IEEE Trans. Comput. Aided Des. Integr. Circuits Syst..

Jarrod A. Roy, Igor L. Markov, I. Markov, 2007, 2007 Asia and South Pacific Design Automation Conference.

Igor L. Markov, Sergei V. Isakov, Aneeqa Fatima, 2020, 2020 57th ACM/IEEE Design Automation Conference (DAC).

Luciano Lavagno, Igor L. Markov, Grant Martin, 2016 .

Igor L. Markov, Karem A. Sakallah, Fadi A. Aloul, 2004, Proceedings Design, Automation and Test in Europe Conference and Exhibition.

Luciano Lavagno, Igor L. Markov, Grant Martin, 2016 .

Trevor N. Mudge, Igor L. Markov, Derek Chiou, 2016, IEEE Micro.

Igor L. Markov, Natarajan Viswanathan, Gi-Joon Nam, 2011, IEEE Micro.

John P. Hayes, Igor L. Markov, George F. Viamontes, 2008, TODE.

Igor L. Markov, Valeria Bertacco, Kai-hui Chang, 2009 .

Jarrod A. Roy, Igor L. Markov, Natarajan Viswanathan, 2009, 2009 IEEE/ACM International Conference on Computer-Aided Design - Digest of Technical Papers.

Igor L. Markov, Aneeqa Fatima, I. Markov, 2020, 2021 IEEE International Symposium on High-Performance Computer Architecture (HPCA).

Igor L. Markov, Shyam Ramji, Natarajan Viswanathan, 2012, ISPD '12.

Kunle Olukotun, Franz Franchetti, Jan M. Rabaey, 2015, Computer.

Jarrod A. Roy, Igor L. Markov, David A. Papa, 2007, Modern Circuit Placement.

John P. Hayes, Igor L. Markov, Vivek V. Shende, 2002, IWLS.

Igor L. Markov, Karem A. Sakallah, Yoonna Oh, 2004, Proceedings. 41st Design Automation Conference, 2004..

John P. Hayes, Igor L. Markov, Vivek V. Shende, 2003, IEEE Trans. Comput. Aided Des. Integr. Circuits Syst..

Jarrod A. Roy, Igor L. Markov, Michael D. Moffitt, 2008, ISPD '08.

Igor L. Markov, Saurabh N. Adya, I. Markov, 2003, IEEE Trans. Very Large Scale Integr. Syst..

Igor L. Markov, Paul G. Villarrubia, Saurabh N. Adya, 2003 .

Andrew B. Kahng, Igor L. Markov, Andrew E. Caldwell, 1998, Proceedings Eleventh Annual IEEE International ASIC Conference (Cat. No.98TH8372).

Igor L. Markov, David A. Papa, 2013 .

Igor L. Markov, Kai-Hui Chang, Valeria Bertacco, 2007, 2007 Asia and South Pacific Design Automation Conference.

John P. Hayes, Igor L. Markov, George F. Viamontes, 2004, SPIE Defense + Commercial Sensing.

John P. Hayes, Igor L. Markov, Smita Krishnaswamy, 2008, 2008 45th ACM/IEEE Design Automation Conference.

Igor L. Markov, Valeria Bertacco, Kai-hui Chang, 2009 .

Luciano Lavagno, Igor L. Markov, Grant Martin, 2016 .

Igor L. Markov, Stephen S. Bullock, Vivek Shende, 2004 .

Jarrod A. Roy, Igor L. Markov, 2007, IEEE Trans. Comput. Aided Des. Integr. Circuits Syst..

Igor L. Markov, Valeria Bertacco, Kai-hui Chang, 2009 .

John P. Hayes, Igor L. Markov, Ramashis Das, 2006, Eleventh IEEE European Test Symposium (ETS'06).

Igor L. Markov, Karem A. Sakallah, Fadi A. Aloul, 2005, ASP-DAC.

Andrew B. Kahng, Igor L. Markov, Andrew E. Caldwell, 2000, ASP-DAC '00.

Igor L. Markov, Kai-Hui Chang, Valeria Bertacco, 2009, Lecture Notes in Electrical Engineering.

Igor L. Markov, Jens Lienig, Johann Knechtel, 2011, ISPD '11.

Igor L. Markov, Valeria Bertacco, Kai-hui Chang, 2009 .

Igor L. Markov, 2011, IEEE Design & Test of Computers.

Igor L. Markov, Kai-Hui Chang, Valeria Bertacco, 2008, TODE.

Andrew B. Kahng, Igor L. Markov, Charles J. Alpert, 1999, ISPD '99.

Igor L. Markov, Karem A. Sakallah, Hadi Katebi, 2012, LPAR.

Igor L. Markov, DoRon B. Motter, 2002, IWLS.

Igor L. Markov, Ketan N. Patel, 2004, IEEE Trans. Very Large Scale Integr. Syst..

John P. Hayes, Igor L. Markov, Smita Krishnaswamy, 2007, 2007 IEEE/ACM International Conference on Computer-Aided Design.

Miodrag Potkonjak, Andrew B. Kahng, Igor L. Markov, 1998, Proceedings 1998 Design and Automation Conference. 35th DAC. (Cat. No.98CH36175).

Jarrod A. Roy, Igor L. Markov, 2007, IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems.

Igor L. Markov, Karem A. Sakallah, Hadi Katebi, 2013, 2013 IEEE 25th International Conference on Tools with Artificial Intelligence.

Igor L. Markov, Valeria Bertacco, Kai-hui Chang, 2009 .

Andrew B. Kahng, Igor L. Markov, 2003, Fourth International Symposium on Quality Electronic Design, 2003. Proceedings..

Jin Hu, Igor L. Markov, Myung-Chul Kim, 2013, 2013 50th ACM/EDAC/IEEE Design Automation Conference (DAC).

John P. Hayes, Igor L. Markov, Smita Krishnaswamy, 2013 .

John P. Hayes, Igor L. Markov, Smita Krishnaswamy, 2013 .

John P. Hayes, Igor L. Markov, Vivek V. Shende, 2006, JETC.

Igor L. Markov, Karem A. Sakallah, Fadi A. Aloul, 2000 .

Igor L. Markov, Paul G. Villarrubia, Saurabh N. Adya, 2003, ICCAD 2003.

Igor L. Markov, David A. Papa, 2013 .

Igor L. Markov, Kai-Hui Chang, Valeria Bertacco, 2009, 2009 Design, Automation & Test in Europe Conference & Exhibition.

Jarrod A. Roy, Farinaz Koushanfar, Igor L. Markov, 2010, Computer.

Igor L. Markov, Jens Lienig, Johann Knechtel, 2012, IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems.

Igor L. Markov, Mehdi Saeedi, I. Markov, 2013, ArXiv.

Igor L. Markov, Paul G. Villarrubia, Saurabh N. Adya, 2003, ICCAD-2003. International Conference on Computer Aided Design (IEEE Cat. No.03CH37486).

Igor L. Markov, Karem A. Sakallah, Fadi A. Aloul, 2004, ASP-DAC 2004: Asia and South Pacific Design Automation Conference 2004 (IEEE Cat. No.04EX753).

Igor L. Markov, Andrew E. Caldwell, A. Caldwell, 2002, IEEE Design & Test of Computers.

Farinaz Koushanfar, Igor L. Markov, Jianmin Li, 2013, 2013 Design, Automation & Test in Europe Conference & Exhibition (DATE).

Igor L. Markov, Karem A. Sakallah, Fadi A. Aloul, 2003, IEEE Transactions on Computers.

Igor L. Markov, Stephen S. Bullock, I. Markov, 2003, DAC.

Igor L. Markov, Andrew A. Kennings, A. Kennings, 2008, Encyclopedia of Algorithms.

Jarrod A. Roy, Igor L. Markov, I. Markov, 2003 .

Jarrod A. Roy, Farinaz Koushanfar, Igor L. Markov, 2008, 2008 Design, Automation and Test in Europe.

John P. Hayes, Igor L. Markov, Ketan N. Patel, 2008, Quantum Inf. Comput..

Igor L. Markov, Saurabh N. Adya, I. Markov, 2001, Proceedings 2001 IEEE International Conference on Computer Design: VLSI in Computers and Processors. ICCD 2001.

Igor L. Markov, Kai-Hui Chang, Valeria Bertacco, 2007, Computer.

Igor L. Markov, Arathi Ramani, 2003, IJCAI.

John P. Hayes, Igor L. Markov, George F. Viamontes, 2003, Quantum Inf. Process..

Jarrod A. Roy, Igor L. Markov, 2008, IEEE Trans. Comput. Aided Des. Integr. Circuits Syst..

Igor L. Markov, Kai-Hui Chang, Valeria Bertacco, 2008, ISPD '08.

Igor L. Markov, Karem A. Sakallah, Mark H. Liffiton, 2004, Proceedings. 41st Design Automation Conference, 2004..

John P. Hayes, Igor L. Markov, J. Hayes, 2014 .

Igor L. Markov, Karem A. Sakallah, Fadi A. Aloul, 2007, IEEE Transactions on Computers.

Andrew B. Kahng, Igor L. Markov, Andrew A. Kennings, 1999, Proceedings of the ASP-DAC '99 Asia and South Pacific Design Automation Conference 1999 (Cat. No.99EX198).

Dmitri Maslov, Igor L. Markov, 2005, Design, Automation and Test in Europe.

Igor L. Markov, Dirk Stroobandt, Louis Scheffer, 2007, Integr..

David Blaauw, Dennis Sylvester, Igor L. Markov, 2008, 2008 IEEE/ACM International Conference on Computer-Aided Design.

Jin Hu, Jarrod A. Roy, Igor L. Markov, 2008, SLIP '08.

Igor L. Markov, Karem A. Sakallah, Fadi A. Aloul, 2004, J. Univers. Comput. Sci..

Jin Hu, Jarrod A. Roy, Igor L. Markov, 2010, ISPD '10.

Jin Hu, Dongjin Lee, Igor L. Markov, 2011, 2011 IEEE/ACM International Conference on Computer-Aided Design (ICCAD).

Dongjin Lee, Igor L. Markov, 2011, 2011 IEEE/ACM International Conference on Computer-Aided Design (ICCAD).

John P. Hayes, Igor L. Markov, George F. Viamontes, 2009 .

John P. Hayes, Igor L. Markov, George F. Viamontes, 2005, Computing in Science & Engineering.

Dongjin Lee, Igor L. Markov, Myung-Chul Kim, 2010, 2010 IEEE/ACM International Conference on Computer-Aided Design (ICCAD).

Igor L. Markov, Martha E. Pollack, Michael D. Moffitt, 2006, 2006 43rd ACM/IEEE Design Automation Conference.

Igor L. Markov, Héctor J. García, 2013, 2013 IEEE 31st International Conference on Computer Design (ICCD).

John P. Hayes, Igor L. Markov, J. Hayes, 2006 .

Andrew B. Kahng, Igor L. Markov, Stefanus Mantik, 2002, ISPD '02.

Igor L. Markov, Valeria Bertacco, Kai-hui Chang, 2009 .

John P. Hayes, Igor L. Markov, George F. Viamontes, 2004, Proceedings Design, Automation and Test in Europe Conference and Exhibition.

Igor L. Markov, Gi-Joon Nam, Charles J. Alpert, 2008, IEEE Trans. Comput. Aided Des. Integr. Circuits Syst..

Ismail Bustany, Igor L. Markov, Martin Wong, 2013, 2013 American Control Conference.

John P. Hayes, Igor L. Markov, George F. Viamontes, 2009 .

Jarrod A. Roy, Igor L. Markov, Rajat Aggarwal, 2009, Integr..

Jarrod A. Roy, Igor L. Markov, DoRon B. Motter, 2004, Annals of Mathematics and Artificial Intelligence.

John P. Hayes, Igor L. Markov, Smita Krishnaswamy, 2009, 2009 46th ACM/IEEE Design Automation Conference.

Igor L. Markov, David A. Papa, 2013, Lecture Notes in Electrical Engineering.

John P. Hayes, Igor L. Markov, Smita Krishnaswamy, 2007, ICCAD 2007.

Igor L. Markov, Karem A. Sakallah, Fadi A. Aloul, 2003, IEEE Trans. Comput. Aided Des. Integr. Circuits Syst..

Tim Güneysu, Igor L. Markov, André Weimerskirch, 2012, ARC.

Igor L. Markov, Karem A. Sakallah, Fadi A. Aloul, 2002, Proceedings. IEEE International Conference on Computer Design: VLSI in Computers and Processors.

Andrew B. Kahng, Igor L. Markov, Andrew E. Caldwell, 2000, JEAL.

Igor L. Markov, David A. Papa, Philip Chong, 2006, Asia and South Pacific Conference on Design Automation, 2006..

Luca Benini, Stephen A. Edwards, Yunheung Paek, 2009 .

Alfred V. Aho, Igor L. Markov, Isaac L. Chuang, 2004 .

Igor L. Markov, I. Markov, 2014, Nature.

Jin Hu, Igor L. Markov, Myung-Chul Kim, 2015, 2012 IEEE/ACM International Conference on Computer-Aided Design (ICCAD).

Dongjin Lee, Igor L. Markov, 2012, IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems.

Robert Wille, Igor L. Markov, Stefan Hillmich, 2020, 2021 Design, Automation & Test in Europe Conference & Exhibition (DATE).

John P. Hayes, Igor L. Markov, Smita Krishnaswamy, 2013 .

Igor L. Markov, David A. Papa, 2013 .

Igor L. Markov, Mehdi Saeedi, I. Markov, 2012, Quantum Inf. Comput..

Igor L. Markov, Karem A. Sakallah, Paul T. Darga, 2008, 2008 45th ACM/IEEE Design Automation Conference.

Yu Cao, Dennis Sylvester, Andrew B. Kahng, 2000, Proceedings 37th Design Automation Conference.

Andrew B. Kahng, Igor L. Markov, Jens Lienig, 2011 .

John P. Hayes, Igor L. Markov, Smita Krishnaswamy, 2009, IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems.

Jarrod A. Roy, Igor L. Markov, David A. Papa, 2006, ISPD '06.

Andrew B. Kahng, Igor L. Markov, Sherief Reda, 2004, Proceedings Design, Automation and Test in Europe Conference and Exhibition.

Igor L. Markov, Valeria Bertacco, Kai-hui Chang, 2008, Computer.

Igor L. Markov, Mehdi Saeedi, I. Markov, 2011, CSUR.

Robert Wille, Igor L. Markov, Alwin Zulehner, 2020, 2020 25th Asia and South Pacific Design Automation Conference (ASP-DAC).

John P. Hayes, Igor L. Markov, J. Hayes, 2009 .

Igor L. Markov, Kai-Hui Chang, Valeria Bertacco, 2009, IEEE Design & Test of Computers.

John P. Hayes, Igor L. Markov, Smita Krishnaswamy, 2013 .

Igor L. Markov, Karem A. Sakallah, Fadi A. Aloul, 2003, GLSVLSI '03.

Jarrod A. Roy, Igor L. Markov, 2007 .

Igor L. Markov, Arathi Ramani, 2004, CSCLP.

Igor L. Markov, Valeria Bertacco, Kai-hui Chang, 2009 .

Jin Hu, Igor L. Markov, Myung-Chul Kim, 2012, Proceedings of the IEEE.

Andrew B. Kahng, Igor L. Markov, Andrew E. Caldwell, 2002 .

Igor L. Markov, Saurabh N. Adya, Hayward H. Chan, 2005, ISPD '05.

Igor L. Markov, Vivek V. Shende, Stephen S. Bullock, 2004, Proceedings Design, Automation and Test in Europe Conference and Exhibition.

John P. Hayes, Igor L. Markov, Vivek V. Shende, 2002, IEEE/ACM International Conference on Computer Aided Design, 2002. ICCAD 2002..

Igor L. Markov, Jens Lienig, Matthias Thiele, 2012, 2012 IEEE/ACM International Conference on Computer-Aided Design (ICCAD).

Igor L. Markov, Valeria Bertacco, Kai-hui Chang, 2009 .

Igor L. Markov, Jens Lienig, Andrew B. Kahng, 2011 .

Igor L. Markov, Saurabh N. Adya, 2005, TODE.

Igor L. Markov, David A. Papa, 2013 .

John P. Hayes, Igor L. Markov, George F. Viamontes, 2009 .

Igor L. Markov, Hadi Katebi, I. Markov, 2010, 2010 Design, Automation & Test in Europe Conference & Exhibition (DATE 2010).

Igor L. Markov, Karem A. Sakallah, Fadi A. Aloul, 2008, JEAL.

Igor L. Markov, Ketan N. Patel, 2003, SLIP '03.

Igor L. Markov, Myung-Chul Kim, I. Markov, 2012, DAC Design Automation Conference 2012.

Dongjin Lee, Igor L. Markov, Myung-Chul Kim, 2010, IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems.

Andrew B. Kahng, Igor L. Markov, Tony F. Chan, 1997, ISPD '97.

Andrew B. Kahng, Igor L. Markov, Alex Zelikovsky, 1998, ISPD '98.

Igor L. Markov, Valeria Bertacco, Stephen M. Plaza, 2008, IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems.

Andrew B. Kahng, Igor L. Markov, Alex Zelikovsky, 1998, ISPD '98.

Dongjin Lee, Igor L. Markov, Myung-Chul Kim, 2010, 2010 IEEE/ACM International Conference on Computer-Aided Design (ICCAD).

Igor L. Markov, 2010, IEEE Design & Test of Computers.

David Blaauw, Dennis Sylvester, Igor L. Markov, 2008, ICCAD 2008.

Igor L. Markov, Patrick H. Madden, Paul G. Villarrubia, 2004, IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems.

Igor L. Markov, Karem A. Sakallah, Fadi A. Aloul, 2001, IEEE/ACM International Conference on Computer Aided Design. ICCAD 2001. IEEE/ACM Digest of Technical Papers (Cat. No.01CH37281).

Igor L. Markov, Hong-Zu Chou, Kai-Hui Chang, 2012, 2012 Design, Automation & Test in Europe Conference & Exhibition (DATE).

Igor L. Markov, David A. Papa, I. Markov, 2013 .

Jarrod A. Roy, Igor L. Markov, I. Markov, 2008, Handbook of Algorithms for Physical Design Automation.

Igor L. Markov, Stephen M. Plaza, I. Markov, 2015, IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems.

Igor L. Markov, 2009, IEEE Design & Test of Computers.

Igor L. Markov, DoRon B. Motter, Doron B. Motter, 2002, ALENEX.

Andrew B. Kahng, Igor L. Markov, Seokhyeong Kang, 2013, 2013 IEEE/ACM International Conference on Computer-Aided Design (ICCAD).

Robert Wille, Igor L. Markov, Stefan Hillmich, 2020, 2020 57th ACM/IEEE Design Automation Conference (DAC).

Igor L. Markov, Yaoyun Shi, 2008, SIAM J. Comput..

Andrew B. Kahng, Igor L. Markov, Andrew E. Caldwell, 2003, IEEE Trans. Comput. Aided Des. Integr. Circuits Syst..

Igor L. Markov, Karem A. Sakallah, Fadi A. Aloul, 2002, Proceedings 2002 Design Automation Conference (IEEE Cat. No.02CH37324).

Igor L. Markov, Jens Lienig, Sergii Osmolovskyi, 2018, 2018 23rd Asia and South Pacific Design Automation Conference (ASP-DAC).

Igor L. Markov, Valeria Bertacco, Kai-hui Chang, 2007 .

Jarrod A. Roy, Igor L. Markov, Saurabh N. Adya, 2004, IEEE/ACM International Conference on Computer Aided Design, 2004. ICCAD-2004..

Andrew B. Kahng, Igor L. Markov, Tony F. Chan, 1999, VLSI Design.

John P. Hayes, Igor L. Markov, George F. Viamontes, 2009 .

Jarrod A. Roy, Igor L. Markov, David A. Papa, 2005 .

Igor L. Markov, David A. Papa, 2013 .

Igor L. Markov, David A. Papa, I. Markov, 2013 .

Igor L. Markov, Kai-Hui Chang, Valeria Bertacco, 2005, ICCAD-2005. IEEE/ACM International Conference on Computer-Aided Design, 2005..

Luciano Lavagno, Igor L. Markov, Grant Martin, 2016 .

Miodrag Potkonjak, Andrew B. Kahng, Igor L. Markov, 1998, Proceedings 1998 Design and Automation Conference. 35th DAC. (Cat. No.98CH36175).

Igor L. Markov, Valeria Bertacco, Kai-hui Chang, 2005, ICCAD 2005.

Miodrag Potkonjak, Andrew B. Kahng, Igor L. Markov, 2001, IEEE Trans. Comput. Aided Des. Integr. Circuits Syst..

John P. Hayes, Igor L. Markov, George F. Viamontes, 2007, 2007 IEEE/ACM International Conference on Computer-Aided Design.

Igor L. Markov, Sergio Boixo, Aneeqa Fatima, 2018, ArXiv.

Igor L. Markov, Saurabh N. Adya, David A. Papa, 2004, GLSVLSI '04.

Igor L. Markov, Kai-Hui Chang, Valeria Bertacco, 2005, ICCAD-2005. IEEE/ACM International Conference on Computer-Aided Design, 2005..

Igor L. Markov, Andrew W. Cross, Héctor J. García, 2014, Quantum Inf. Comput..

Igor L. Markov, Kai-Hui Chang, Valeria Bertacco, 2007, 2007 IEEE International High Level Design Validation and Test Workshop.

Alex K. Jones, Igor L. Markov, Diana Marculescu, 2013, 2013 IEEE International Conference on Microelectronic Systems Education (MSE).

Igor L. Markov, Valeria Bertacco, Kai-hui Chang, 2009 .

Igor L. Markov, Valeria Bertacco, Kai-hui Chang, 2009 .

Jarrod A. Roy, Igor L. Markov, Saurabh N. Adya, 2004, ICCAD 2004.

Igor L. Markov, Raj Rao Nadakuditi, I. Markov, 2010, Design Automation Conference.

Igor L. Markov, Tony F. Chan, Charles J. Alpert, 1997, DAC.

Igor L. Markov, Kai-Hui Chang, Valeria Bertacco, 2007, 2007 Asia and South Pacific Design Automation Conference.

Igor L. Markov, David A. Papa, 2013 .

Igor L. Markov, Saurabh N. Adya, 2004 .

Igor L. Markov, Andrew W. Cross, Héctor J. García, 2012, ArXiv.

Igor L. Markov, Paul G. Villarrubia, Saurabh N. Adya, 2006, Integr..

Igor L. Markov, Hayward H. Chan, H. H. Chan, 2004, GLSVLSI '04.

Igor L. Markov, Aaron N. Ng, I. Markov, 2005, Sixth international symposium on quality electronic design (isqed'05).

Jarrod A. Roy, Igor L. Markov, James F. Lu, 2006, IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems.

Igor L. Markov, Stephen S. Bullock, 2003 .

Andrew B. Kahng, Igor L. Markov, Andrew E. Caldwell, 2000, Proceedings 37th Design Automation Conference.

John P. Hayes, Igor L. Markov, Smita Krishnaswamy, 2013 .

Jarrod A. Roy, Igor L. Markov, Saurabh N. Adya, 2005, ISPD '05.

Igor L. Markov, Kai-Hui Chang, Valeria Bertacco, 2007, 8th International Symposium on Quality Electronic Design (ISQED'07).

Andrew B. Kahng, Igor L. Markov, Stefanus Mantik, 1998, Proceedings. 1998 IEEE Symposium on IC/Package Design Integration (Cat. No.98CB36211).

Luciano Lavagno, Igor L. Markov, Grant Martin, 2016 .

Andrew B. Kahng, Igor L. Markov, Andrew E. Caldwell, 2000, VLSI Design.

Igor L. Markov, Valeria Bertacco, Stephen M. Plaza, 2008, IEEE Trans. Comput. Aided Des. Integr. Circuits Syst..

Igor L. Markov, David A. Papa, I. Markov, 2007, Handbook of Approximation Algorithms and Metaheuristics.

John P. Hayes, Igor L. Markov, George F. Viamontes, 2003, ASP-DAC '03.

Igor L. Markov, Yaoyun Shi, 2009, Algorithmica.

Igor L. Markov, Kai-Hui Chang, Valeria Bertacco, 2007, 2007 Asia and South Pacific Design Automation Conference.

Igor L. Markov, Stephen M. Plaza, 2014, 2014 IEEE/ACM International Conference on Computer-Aided Design (ICCAD).

Igor L. Markov, Vivek V. Shende, I. Markov, 2004, Quantum Inf. Comput..

John P. Hayes, Igor L. Markov, Smita Krishnaswamy, 2012, Lecture Notes in Electrical Engineering.

Igor L. Markov, Dong-Jin Lee, 2010, DATE 2010.

Igor L. Markov, Andrew A. Kennings, A. Kennings, 2000, Proceedings 2000. Design Automation Conference. (IEEE Cat. No.00CH37106).

Igor L. Markov, Charles J. Alpert, Michael D. Moffitt, 2010, IEEE Design & Test of Computers.

John P. Hayes, Igor L. Markov, Smita Krishnaswamy, 2005, European Test Symposium (ETS'05).

Igor L. Markov, Valeria Bertacco, Kai-hui Chang, 2009 .

John P. Hayes, Igor L. Markov, George F. Viamontes, 2009 .

John P. Hayes, Igor L. Markov, Smita Krishnaswamy, 2013 .

Igor L. Markov, Rajat Aggarwal, Venky Ramachandran, 2006, ISPD '06.

John P. Hayes, Igor L. Markov, Ketan N. Patel, 2003, IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems.

Alfred V. Aho, Igor L. Markov, Andrew W. Cross, 2006, Computer.

Igor L. Markov, Andrew Yates, Michael Wang, 2014, 2014 IEEE/ACM International Conference on Computer-Aided Design (ICCAD).

Dongjin Lee, Igor L. Markov, 2011, 2011 IEEE/ACM International Conference on Computer-Aided Design (ICCAD).

Igor L. Markov, Héctor J. García, 2010, 2010 Design, Automation & Test in Europe Conference & Exhibition (DATE 2010).

Igor L. Markov, Kai-Hui Chang, Valeria Bertacco, 2008, Integr..

John P. Hayes, Igor L. Markov, Ketan N. Patel, 2004, IEEE Trans. Comput. Aided Des. Integr. Circuits Syst..

Jarrod A. Roy, Farinaz Koushanfar, Igor L. Markov, 2008, 2008 IEEE International Workshop on Hardware-Oriented Security and Trust.

Dongjin Lee, Igor L. Markov, Myung-Chul Kim, 2013, CACM.

Igor L. Markov, Karem A. Sakallah, Fadi A. Aloul, 2002, IWLS.

Andrew B. Kahng, Igor L. Markov, Tony F. Chan, 1997, ISPD '97.

Jarrod A. Roy, Igor L. Markov, David A. Papa, 2008, VLSI Design.

Jin Hu, Andrew B. Kahng, Igor L. Markov, 2012, 2012 IEEE/ACM International Conference on Computer-Aided Design (ICCAD).

Igor L. Markov, Stephen S. Bullock, Vivek Shende, 2004, SPIE Defense + Commercial Sensing.

Igor L. Markov, Karem A. Sakallah, Hadi Katebi, 2010, SAT.

Igor L. Markov, Karem A. Sakallah, Hadi Katebi, 2013, 2013 IEEE/ACM International Conference on Computer-Aided Design (ICCAD).

Igor L. Markov, Héctor J. García, I. Markov, 2015, IEEE Transactions on Computers.

Igor L. Markov, Karem A. Sakallah, Fadi A. Aloul, 2009, Annals of Mathematics and Artificial Intelligence.

Igor L. Markov, Karem A. Sakallah, Fadi A. Aloul, 2003, Proceedings 2003. Design Automation Conference (IEEE Cat. No.03CH37451).

John P. Hayes, Igor L. Markov, George F. Viamontes, 2007 .

Jarrod A. Roy, Igor L. Markov, 2007, IEEE Trans. Comput. Aided Des. Integr. Circuits Syst..

Igor L. Markov, Andrii O. Maksymov, Jason Nguyen, 2021, 2022 IEEE International Symposium on High-Performance Computer Architecture (HPCA).

Igor L. Markov, Nitya Kasturi, 2021, ArXiv.

Igor L. Markov, Eytan Bakshy, Boshuang Huang, 2021, ArXiv.

Igor L. Markov, Karem A. Sakallah, Fadi A. Aloul, 2004 .

Igor L. Markov, Smita Krishnaswamy, John P. Hayes, 2022 .

Igor L. Markov, Valeria Bertacco, Stephen M. Plaza, 2007 .

Igor L. Markov, Vivek V. Shende, Stephen S. Bullock, 2003 .

Jarrod A. Roy, Igor L. Markov, Valeria Bertacco, 2004 .

Igor L. Markov, Vivek V. Shende, Stephen S. Bullock, 2022 .

Igor L. Markov, Vivek V. Shende, Stephen S. Bullock, 2003, quant-ph/0308033.

Igor L. Markov, Vivek V. Shende, Aditya K. Prasad, .

Igor L. Markov, Karem A. Sakallah, Fadi A. Aloul, 2006 .

Igor L. Markov, Stephen S. Bullock, Vivek Shende, 2004 .

Igor L. Markov, Andrew A. Kennings, A. Kennings, 2022 .

Igor L. Markov, Stephen S. Bullock, 2003, DAC 2003.

Igor L. Markov, Valeria Bertacco, Kai-hui Chang, 2022 .

Igor L. Markov, Karem A. Sakallah, Fadi A. Aloul, 2000 .

Igor L. Markov, George F. Viamontes, John P. Hayes, 2007, ICCAD 2007.

Igor L. Markov, Karem A. Sakallah, Arathi Ramani, 2004 .

Igor L. Markov, David A. Papa, Smita Krishnaswamy, 2010, 2010 IEEE/ACM International Conference on Computer-Aided Design (ICCAD).

Igor L. Markov, Hay-Wai Chan, 2003 .

Igor L. Markov, Karem A. Sakallah, Fadi A. Aloul, 2003 .

Igor L. Markov, Ümit V. Çatalyürek, David A. Papa, 2011, Encyclopedia of Parallel Computing.