Vivek De

发表

Anantha Chandrakasan, Vivek De, Shekhar Y. Borkar, 2001, ISLPED'01: Proceedings of the 2001 International Symposium on Low Power Electronics and Design (IEEE Cat. No.01TH8581).

Paolo A. Aseron, Jason Howard, Vivek De, 2008, 2008 IEEE International Solid-State Circuits Conference - Digest of Technical Papers.

Luca Benini, Vivek De, 2003, IEEE Trans. Very Large Scale Integr. Syst..

Kaushik Roy, Vivek De, Ali Keshavarzi, 2003, IEEE Trans. Very Large Scale Integr. Syst..

Vivek De, Xiaosen Liu, Charles Augustine, 2020, IEEE Journal of Solid-State Circuits.

Hong Wang, Deepak Mathaikutty, Sriram R. Vangal, 2018, 2018 IEEE International Solid - State Circuits Conference - (ISSCC).

Vivek De, Eby G. Friedman, Volkan Kursun, 2003, Fourth International Symposium on Quality Electronic Design, 2003. Proceedings..

Yehea I. Ismail, Vivek De, Muhammad M. Khellah, 2006, 7th International Symposium on Quality Electronic Design (ISQED'06).

Lu Wang, Andrew B. Kahng, Vivek De, 2015, ACM J. Emerg. Technol. Comput. Syst..

Vivek De, Dinesh Somasekhar, Yibin Ye, 2006, 2006 IEEE International Symposium on Circuits and Systems.

Vivek De, Monodeep Kar, Saibal Mukhopadhyay, 2014, Proceedings of the IEEE 2014 Custom Integrated Circuits Conference.

Abhijit Chatterjee, Adit D. Singh, Vivek De, 2006, 19th International Conference on VLSI Design held jointly with 5th International Conference on Embedded Systems Design (VLSID'06).

Paolo A. Aseron, Keith A. Bowman, James Tschanz, 2010, IEEE Custom Integrated Circuits Conference 2010.

Vivek De, Hideyuki Kabuo, 2013, 2013 Symposium on VLSI Circuits.

Keith A. Bowman, James Tschanz, Vivek De, 2013, IEEE Journal of Solid-State Circuits.

Saurabh Dighe, Sriram R. Vangal, Paolo A. Aseron, 2010, 2010 IEEE International Solid-State Circuits Conference - (ISSCC).

Sanu Mathew, Vivek De, Monodeep Kar, 2017, 2017 IEEE International Solid-State Circuits Conference (ISSCC).

James Tschanz, Vivek De, Kaushik Mazumdar, 2016, IEEE Journal of Solid-State Circuits.

Sanu Mathew, Sudhir Satpathy, Vikram Suresh, 2016, 2016 IEEE Symposium on VLSI Circuits (VLSI-Circuits).

James Myers, Dennis Sylvester, Ingrid Verbauwhede, 2018, 2018 IEEE International Solid - State Circuits Conference - (ISSCC).

Kaushik Roy, Vivek De, Liqiong Wei, 2000, VLSI Design 2000. Wireless and Digital Imaging in the Millennium. Proceedings of 13th International Conference on VLSI Design.

James Tschanz, Vivek De, Arijit Raychowdhury, 2012, 2012 Symposium on VLSI Circuits (VLSIC).

Sanu Mathew, Vivek De, Monodeep Kar, 2017, 2017 IEEE/ACM International Symposium on Low Power Electronics and Design (ISLPED).

Kaushik Roy, Vivek De, Ali Keshavarzi, 2000, Proceedings International Test Conference 2000 (IEEE Cat. No.00CH37159).

Vivek De, Farid N. Najm, Muhammad M. Khellah, 2005, Proceedings. 42nd Design Automation Conference, 2005..

Keith A. Bowman, Vivek De, Ali Keshavarzi, 2005, ISLPED '05. Proceedings of the 2005 International Symposium on Low Power Electronics and Design, 2005..

Vivek De, Farid N. Najm, Muhammad M. Khellah, 2007, IEEE Transactions on Very Large Scale Integration (VLSI) Systems.

Vivek De, A. Chatterjee, P. Pant, 1998, IEEE Trans. Very Large Scale Integr. Syst..

Vivek De, Saibal Mukhopadhyay, Monodeep Kar, 2020, IEEE Journal of Solid-State Circuits.

Vivek De, Eby G. Friedman, Volkan Kursun, 2005, Microelectron. J..

Amit Agarwal, Ram Krishnamurthy, Sriram R. Vangal, 2020 .

Vivek De, Yehea Ismail, Muhammad M. Khellah, 2005, ICCAD 2005.

Paolo A. Aseron, Keith A. Bowman, James Tschanz, 2011, IEEE Journal on Emerging and Selected Topics in Circuits and Systems.

Vivek De, Shekhar Y. Borkar, S. Borkar, 1999, Proceedings. 1999 International Symposium on Low Power Electronics and Design (Cat. No.99TH8477).

Abhijit Chatterjee, Adit D. Singh, Vivek De, 2005, 2005 International Conference on Computer Design.

Vivek De, Volkan Kursun, Siva G. Narendra, 2004, Proceedings of the 2004 International Symposium on Low Power Electronics and Design (IEEE Cat. No.04TH8758).

James Tschanz, Vivek De, Arijit Raychowdhury, 2013, IEEE Journal of Solid-State Circuits.

Vivek De, Siva G. Narendra, Ali Keshavarzi, 2004, Proceedings. 41st Design Automation Conference, 2004..

James Tschanz, Vivek De, Xiaosen Liu, 2019, 2019 Symposium on VLSI Circuits.

Yehea I. Ismail, Vivek De, Muhammad M. Khellah, 2006, 2006 IEEE International Symposium on Circuits and Systems.

Vivek De, Eby G. Friedman, Volkan Kursun, 2005, 2005 IEEE International Symposium on Circuits and Systems.

Vivek De, J. Tschanz, S. Narendra, 2002, 2002 IEEE International Solid-State Circuits Conference. Digest of Technical Papers (Cat. No.02CH37315).

Kaushik Roy, James Tschanz, Vivek De, 2002, IEEE Design & Test of Computers.

Vivek De, Shekhar Y. Borkar, Tanay Karnik, 2002, IEEE/ACM International Conference on Computer Aided Design, 2002. ICCAD 2002..

James Tschanz, Vivek De, Xiaosen Liu, 2019, 2019 IEEE International Solid- State Circuits Conference - (ISSCC).

Yehea I. Ismail, James Tschanz, Vivek De, 2005, ICCAD-2005. IEEE/ACM International Conference on Computer-Aided Design, 2005..

Yehea I. Ismail, Vivek De, DiaaEldin Khalil, 2008, 9th International Symposium on Quality Electronic Design (isqed 2008).

Kaushik Roy, Vivek De, Dinesh Somasekhar, 2000, Proceedings 37th Design Automation Conference.

Vivek De, Makoto Nagata, 2012, IEEE J. Solid State Circuits.

Yervant Zorian, Nacer-Eddine Zergainoh, Lorena Anghel, 2012, 2012 Design, Automation & Test in Europe Conference & Exhibition (DATE).

James Tschanz, Vivek De, Siva G. Narendra, 2005, 2005 IEEE International Symposium on Circuits and Systems.

Paolo A. Aseron, Keith A. Bowman, James Tschanz, 2010, 2010 ACM/IEEE International Symposium on Low-Power Electronics and Design (ISLPED).

Vivek De, Ron Wilson, Siva G. Narendra, 2002, ISQED.

Vinayak Honkote, Paolo A. Aseron, Vivek De, 2019, 2019 IEEE International Solid- State Circuits Conference - (ISSCC).

Vivek De, 2011, 2011 IEEE Custom Integrated Circuits Conference (CICC).

James Tschanz, Vivek De, Krishnan Ravichandran, 2019, 2019 IEEE International Solid- State Circuits Conference - (ISSCC).

Vivek De, Eby G. Friedman, Volkan Kursun, 2003, IEEE Trans. Very Large Scale Integr. Syst..

Kaustav Banerjee, Vivek De, Hamed F. Dadgour, 2008, 2008 IEEE/ACM International Conference on Computer-Aided Design.

Yehea I. Ismail, Vivek De, Muhammad M. Khellah, 2007, VLSI Design.

Vivek De, Monodeep Kar, Saibal Mukhopadhyay, 2016, 2016 IEEE 34th International Conference on Computer Design (ICCD).

Vivek De, 2016, IEEE Design & Test.

Yehea I. Ismail, James Tschanz, Vivek De, 2008, IEEE Transactions on Circuits and Systems I: Regular Papers.

Keith A. Bowman, James Tschanz, Vivek De, 2011, IEEE Journal of Solid-State Circuits.

Vivek De, Harish Kumar Krishnamurthy, Tarun Mahajan, 2017, ESSCIRC 2017 - 43rd IEEE European Solid State Circuits Conference.

Vivek De, Kanad Ghose, Peter M. Kogge, 2005, ACM Great Lakes Symposium on VLSI.

Kaushik Roy, Vivek De, Shekhar Y. Borkar, 1999, Proceedings. 1999 International Symposium on Low Power Electronics and Design (Cat. No.99TH8477).

Sanu Mathew, Vivek De, Monodeep Kar, 2018, 2018 Design, Automation & Test in Europe Conference & Exhibition (DATE).

Vivek De, 2013, FTXS '13.

Kevin Zhang, Vivek De, Shekhar Borkar, 2002, IEEE Trans. Very Large Scale Integr. Syst..

Mark C. Johnson, Kaushik Roy, Vivek De, 1999, IEEE Trans. Very Large Scale Integr. Syst..

Vivek De, Ali Keshavarzi, Jaume Segura, 2002, VTS.

Paolo A. Aseron, Keith A. Bowman, James Tschanz, 2011, IEEE Transactions on Circuits and Systems I: Regular Papers.

Yehea I. Ismail, Keith A. Bowman, James Tschanz, 2006, ISLPED'06 Proceedings of the 2006 International Symposium on Low Power Electronics and Design.

Vivek De, Jaydeep P. Kulkarni, Tanay Karnik, 2010, IEEE Custom Integrated Circuits Conference 2010.

Vivek De, Jaydeep P. Kulkarni, James W. Tschanz, 2017 .

Anantha Chandrakasan, Vivek De, Shekhar Y. Borkar, 2002, ISLPED '02.

Vivek De, Eby G. Friedman, Volkan Kursun, 2004, IEEE Transactions on Circuits and Systems II: Express Briefs.

Suhwan Kim, Hong Wang, Hao Luo, 2018, 2018 IEEE International Solid - State Circuits Conference - (ISSCC).

Sriram R. Vangal, Vivek De, Shailendra Jain, 2014, 2014 IEEE International Conference on IC Design & Technology.

Saurabh Dighe, Sriram R. Vangal, Vasantha Erraguntla, 2011, IEEE Journal of Solid-State Circuits.

Vivek De, 2015, 2015 IEEE/ACM International Conference on Computer-Aided Design (ICCAD).

Vivek De, James D. Meindl, Xinghai Tang, 1996, ISLPED.

Vivek De, Shekhar Y. Borkar, George Sery, 2002, DAC '02.

Vivek De, Siva G. Narendra, James W. Tschanz, 2006 .

Keith A. Bowman, James Tschanz, Vivek De, 2012, 2012 Symposium on VLSI Circuits (VLSIC).

James Tschanz, Vivek De, Jaydeep P. Kulkarni, 2012, 2012 IEEE International Solid-State Circuits Conference.

Sanu Mathew, Vivek De, Monodeep Kar, 2017, ESSCIRC 2017 - 43rd IEEE European Solid State Circuits Conference.

Vivek De, Siva G. Narendra, Krishnamurthy Soumyanath, 2004 .

Sriram R. Vangal, Jason Howard, James Tschanz, 2014, 2014 19th Asia and South Pacific Design Automation Conference (ASP-DAC).

Trevor N. Mudge, Keith A. Bowman, Vivek De, 2005, ICCAD-2005. IEEE/ACM International Conference on Computer-Aided Design, 2005..

Ching-Te Chuang, Vivek De, Shih-Lien Lu, 1998, IEEE Des. Test Comput..

Mark C. Johnson, Kaushik Roy, Mark Johnson, 1998, Proceedings 1998 Design and Automation Conference. 35th DAC. (Cat. No.98CH36175).

Anantha P. Chandrakasan, Vivek De, Siva G. Narendra, 2006 .

Vivek De, Chandramouli Kashyap, Sani Nassif, 2005, DAC 2005.

Yehea I. Ismail, James Tschanz, Vivek De, 2006, IEEE Transactions on Circuits and Systems I: Regular Papers.

James Tschanz, Vivek De, Muhammad M. Khellah, 2015, 2015 IEEE Custom Integrated Circuits Conference (CICC).

Mary Jane Irwin, Enrico Macii, Vivek De, 2001, International Symposium on Low Power Electronics and Design.

Vivek De, Hideyuki Kabuo, 2013, IEEE J. Solid State Circuits.

James Tschanz, Vivek De, Shekhar Y. Borkar, 2014, Proceedings of the IEEE 2014 Custom Integrated Circuits Conference.

James Tschanz, Vivek De, Jaydeep P. Kulkarni, 2016, 2016 IEEE International Solid-State Circuits Conference (ISSCC).

Kaustav Banerjee, Vivek De, Sheng-Chih Lin, 2005, IEEE InternationalElectron Devices Meeting, 2005. IEDM Technical Digest..

Marian Verhelst, Meng-Fan Chang, Jun Deguchi, 2017, 2017 IEEE International Solid-State Circuits Conference (ISSCC).

Vivek De, Yibin Ye, Shekhar Beaverton Borkar, 1998, 1998 Symposium on VLSI Circuits. Digest of Technical Papers (Cat. No.98CH36215).

Yehea I. Ismail, Vivek De, Nam-Sung Kim, 2008, IEEE Transactions on Very Large Scale Integration (VLSI) Systems.

Marian Verhelst, Yusuf Leblebici, Vivek De, 2016, 2016 IEEE International Solid-State Circuits Conference (ISSCC).

Vivek De, Michael T. Niemier, Xiaobo Sharon Hu, 2019, IEEE Des. Test.

Keith A. Bowman, James Tschanz, Vivek De, 2007, 2007 44th ACM/IEEE Design Automation Conference.

Vivek De, James D. Meindl, J. Meindl, 1996, Proceedings / International Symposium on Low Power Electronics and Design.

Paolo A. Aseron, James Tschanz, Vivek De, 2015, 2015 IEEE International Solid-State Circuits Conference - (ISSCC) Digest of Technical Papers.

Keith A. Bowman, James Tschanz, Vivek De, 2009, 2009 46th ACM/IEEE Design Automation Conference.

Vivek De, Krishnan Ravichandran, Pavan Kumar, 2014, 2014 Symposium on VLSI Circuits Digest of Technical Papers.

Vivek De, Shekhar Y. Borkar, 2000, ACM Great Lakes Symposium on VLSI.

Vivek De, Shekhar Borkar, Tanay Karnik, 2002, ICCAD 2002.

Saurabh Dighe, Sriram R. Vangal, Paolo A. Aseron, 2012, 2012 IEEE International Solid-State Circuits Conference.

Vivek De, Monodeep Kar, Saibal Mukhopadhyay, 2016, 2016 IEEE International Symposium on Hardware Oriented Security and Trust (HOST).

Keith A. Bowman, James Tschanz, Vivek De, 2010, 2010 15th Asia and South Pacific Design Automation Conference (ASP-DAC).

Paolo A. Aseron, James Tschanz, Vivek De, 2007, 2007 IEEE International Solid-State Circuits Conference. Digest of Technical Papers.

Vivek De, Azeez J. Bhavnagarwala, Blanca Austin, 1996, ISLPED '96.

James Tschanz, Vivek De, Muhammad M. Khellah, 2016, 2016 IEEE Symposium on VLSI Circuits (VLSI-Circuits).

Sanu Mathew, Sudhir Satpathy, Mark Anders, 2014, IEEE Journal of Solid-State Circuits.

Paolo A. Aseron, Keith A. Bowman, James Tschanz, 2011, IEEE Journal of Solid-State Circuits.

Saurabh Dighe, Sriram R. Vangal, Jason Howard, 2007, 2007 IEEE International Solid-State Circuits Conference. Digest of Technical Papers.

Vivek De, Shekhar Y. Borkar, Tanay Karnik, 2004, Proceedings. 41st Design Automation Conference, 2004..

Yehea I. Ismail, Vivek De, Nam-Sung Kim, 2009, Microelectron. J..

Sanu Mathew, Sudhir Satpathy, Vikram Suresh, 2016, 2016 IEEE Asian Solid-State Circuits Conference (A-SSCC).

Yehea I. Ismail, James Tschanz, Vivek De, 2006, IEEE Trans. Comput. Aided Des. Integr. Circuits Syst..

Vivek De, Shekhar Y. Borkar, Siva G. Narendra, 2001, ISLPED '01.

James Tschanz, Vivek De, Arijit Raychowdhury, 2012, Proceedings of the IEEE 2012 Custom Integrated Circuits Conference.

James Tschanz, Vivek De, Shekhar Y. Borkar, 2003, Proceedings 2003. Design Automation Conference (IEEE Cat. No.03CH37451).

Vivek De, R. Zafalon, 2004, IEEE/ACM International Conference on Computer Aided Design, 2004. ICCAD-2004..

Keith A. Bowman, James Tschanz, Vivek De, 2013, IEEE Design & Test.

Wei Zhang, Mahmut T. Kandemir, Narayanan Vijaykrishnan, 2003, 2003 Design, Automation and Test in Europe Conference and Exhibition.

Kaushik Roy, Vivek De, Liqiong Wei, 1999, DAC '99.

Keith A. Bowman, James Tschanz, Vivek De, 2005, Proceedings. 42nd Design Automation Conference, 2005..

James Tschanz, Vivek De, Krishnan Ravichandran, 2014, Proceedings of the IEEE 2014 Custom Integrated Circuits Conference.

Vivek De, Shekhar Beaverton Borkar, 1999, Proceedings. 1999 International Symposium on Low Power Electronics and Design (Cat. No.99TH8477).

Keith A. Bowman, James Tschanz, Vivek De, 2010, 2010 IEEE International Solid-State Circuits Conference - (ISSCC).

Vivek De, 2014, 2014 IEEE Asian Solid-State Circuits Conference (A-SSCC).

Yehea I. Ismail, Vivek De, Muhammad M. Khellah, 2009, IEEE Transactions on Circuits and Systems I: Regular Papers.

Vivek De, Monodeep Kar, Saibal Mukhopadhyay, 2016, ESSCIRC Conference 2016: 42nd European Solid-State Circuits Conference.

James Tschanz, Vivek De, Kaushik Mazumdar, 2015, 2015 IEEE International Solid-State Circuits Conference - (ISSCC) Digest of Technical Papers.

Anantha P. Chandrakasan, Vivek De, Siva G. Narendra, 2006 .

James Tschanz, Vivek De, Jaydeep P. Kulkarni, 2017, IEEE Journal of Solid-State Circuits.

Yehea I. Ismail, James Tschanz, Vivek De, 2005, 2005 IEEE International Symposium on Circuits and Systems.

Vivek De, Siva G. Narendra, Shekhar Beaverton Borkar, 2002, VLSIC 2002.

Vivek De, James D. Meindl, Xinghai Tang, 1997, IEEE Trans. Very Large Scale Integr. Syst..

Sudhir Satpathy, Vikram Suresh, Himanshu Kaul, 2021, IEEE Journal of Solid-State Circuits.

Vivek De, Arijit Raychowdhury, Carlos Tokunaga, 2021, 2021 IEEE Asian Solid-State Circuits Conference (A-SSCC).

Vivek De, Santosh Ghosh, Josef Danial, 2022, IEEE Journal of Solid-State Circuits.

James Tschanz, Vivek De, Xiaosen Liu, 2021, 2021 IEEE International Solid- State Circuits Conference (ISSCC).

Amit Agarwal, Steven Hsu, Ram Krishnamurthy, 2021, IEEE Transactions on Very Large Scale Integration (VLSI) Systems.

Anantha Chandrakasan, Vivek De, Shekhar Borkar, 2002 .

James Tschanz, Vivek De, Suyoung Bang, 2019, IEEE Solid-State Circuits Letters.

Vivek De, A. Chatterjee, P. Pant, 1997, Proceedings of the 34th Design Automation Conference.

Ram Krishnamurthy, Mircea R. Stan, Sriram R. Vangal, 2002 .