Shekhar Y. Borkar
发表
Saurabh Dighe,
Sriram R. Vangal,
Paolo A. Aseron,
2011,
IEEE Journal of Solid-State Circuits.
Anantha Chandrakasan,
Vivek De,
Shekhar Y. Borkar,
2001,
ISLPED'01: Proceedings of the 2001 International Symposium on Low Power Electronics and Design (IEEE Cat. No.01TH8581).
Shekhar Y. Borkar,
Takayasu Sakurai,
Siva G. Narendra,
2010,
2010 IEEE International Solid-State Circuits Conference - (ISSCC).
Paolo A. Aseron,
Jason Howard,
Vivek De,
2008,
2008 IEEE International Solid-State Circuits Conference - Digest of Technical Papers.
Shekhar Y. Borkar,
S. Borkar,
2006,
2006 43rd ACM/IEEE Design Automation Conference.
Shekhar Y. Borkar,
S. Borkar,
2007,
2007 44th ACM/IEEE Design Automation Conference.
Shekhar Y. Borkar,
S. Borkar,
2001,
ASP-DAC '01.
Kaushik Roy,
Steven Hsu,
Ram Krishnamurthy,
2006,
IEEE Transactions on Very Large Scale Integration (VLSI) Systems.
James Tschanz,
Vivek De,
Shekhar Y. Borkar,
2002,
DAC '02.
Saurabh Dighe,
Sriram R. Vangal,
Paolo A. Aseron,
2010,
2010 IEEE International Solid-State Circuits Conference - (ISSCC).
Shekhar Y. Borkar,
Tanay Karnik,
Dinesh Somasekhar,
2011,
ISPD '11.
Benoît Meister,
Josep Torrellas,
Shekhar Y. Borkar,
2013,
2013 IEEE 19th International Symposium on High Performance Computer Architecture (HPCA).
Sriram R. Vangal,
Nitin Borkar,
Shekhar Y. Borkar,
2007,
IEEE Micro.
Sanu Mathew,
Mark Anders,
Himanshu Kaul,
2012,
2012 IEEE International Solid-State Circuits Conference.
Shekhar Y. Borkar,
2006,
2006 IFIP International Conference on Very Large Scale Integration.
Sanu Mathew,
Mark Anders,
Himanshu Kaul,
2012,
2012 Symposium on VLSI Circuits (VLSIC).
Shekhar Y. Borkar,
Tanay Karnik,
Mondira Pant,
2013,
2013 50th ACM/EDAC/IEEE Design Automation Conference (DAC).
Shekhar Y. Borkar,
Tanay Karnik,
Dinesh Somasekhar,
2011,
IET Comput. Digit. Tech..
Andrew A. Chien,
Shekhar Y. Borkar,
S. Borkar,
2011,
Commun. ACM.
Shekhar Y. Borkar,
2013,
IPDPS.
Vivek De,
Shekhar Y. Borkar,
S. Borkar,
1999,
Proceedings. 1999 International Symposium on Low Power Electronics and Design (Cat. No.99TH8477).
Andrew B. Kahng,
Shekhar Y. Borkar,
John M. Cohn,
2001,
DAC.
Shekhar Y. Borkar,
S. Borkar,
2006,
IEEE Des. Test Comput..
Shekhar Y. Borkar,
2006,
VLSI-SoC.
Atila Alvandpour,
Ram Krishnamurthy,
Shekhar Y. Borkar,
2001,
ISLPED '01.
Shekhar Y. Borkar,
2010,
Commun. ACM.
Vivek De,
Shekhar Y. Borkar,
Tanay Karnik,
2002,
IEEE/ACM International Conference on Computer Aided Design, 2002. ICCAD 2002..
Uming Ko,
Shekhar Y. Borkar,
Ali Keshavarzi,
2013,
2013 IEEE International Solid-State Circuits Conference Digest of Technical Papers.
Per Stenström,
Norman P. Jouppi,
Shekhar Y. Borkar,
2007,
2007 Design, Automation & Test in Europe Conference & Exhibition.
Shekhar Y. Borkar,
2016,
Near Threshold Computing.
John Shalf,
Li Tang,
Justin Luitjens,
2012,
High Performance Computing Workshop.
A 300 mV 494GOPS/W Reconfigurable Dual-Supply 4-Way SIMD Vector Processing Accelerator in 45 nm CMOS
Sanu Mathew,
Mark Anders,
Himanshu Kaul,
2009,
IEEE Journal of Solid-State Circuits.
Shekhar Y. Borkar,
Ruchir Puri,
Robert K. Montoye,
2008,
2008 45th ACM/IEEE Design Automation Conference.
Mark Anders,
Ram Krishnamurthy,
Shekhar Y. Borkar,
2001,
GLSVLSI '01.
Kaushik Roy,
Steven Hsu,
Ram Krishnamurthy,
2005,
11th IEEE International On-Line Testing Symposium.
Sanu Mathew,
Mark Anders,
Steven Hsu,
2007,
2007 IEEE International Solid-State Circuits Conference. Digest of Technical Papers.
Shekhar Y. Borkar,
S. Borkar,
2004,
MICRO.
Mark Anders,
Himanshu Kaul,
Ram Krishnamurthy,
2011,
Low Power Networks-on-Chip.
Shekhar Y. Borkar,
2003,
ACM Queue.
Steven Hsu,
Ram Krishnamurthy,
Shekhar Y. Borkar,
2003,
ISLPED '03.
Kaushik Roy,
Vivek De,
Shekhar Y. Borkar,
1999,
Proceedings. 1999 International Symposium on Low Power Electronics and Design (Cat. No.99TH8477).
Shekhar Y. Borkar,
H. T. Kung,
Thomas R. Gross,
1990,
[1990] Proceedings. The 17th Annual International Symposium on Computer Architecture.
Shekhar Y. Borkar,
S. Borkar,
2004,
IEEE/IFIP International Conference on Very Large Scale Integration of System-on-Chip.
Anantha Chandrakasan,
Vivek De,
Shekhar Y. Borkar,
2002,
ISLPED '02.
James Tschanz,
Vivek De,
Shekhar Y. Borkar,
2001,
ISLPED '01.
Shekhar Y. Borkar,
Masaitsu Nakajima,
S. Borkar,
2012,
ISSCC.
Saurabh Dighe,
Sriram R. Vangal,
Vasantha Erraguntla,
2011,
IEEE Journal of Solid-State Circuits.
Vivek De,
Shekhar Y. Borkar,
George Sery,
2002,
DAC '02.
Kaushik Roy,
Amit Agarwal,
Steven Hsu,
2005,
ISLPED '05. Proceedings of the 2005 International Symposium on Low Power Electronics and Design, 2005..
Shekhar Y. Borkar,
2006,
2009 Symposium on VLSI Technology.
Kurt Keutzer,
Shekhar Y. Borkar,
Richard Goldman,
2004,
DAC '04.
Shekhar Y. Borkar,
Robert W. Brodersen,
Charles Sodini,
2006,
2006 43rd ACM/IEEE Design Automation Conference.
Shekhar Y. Borkar,
S. Borkar,
1999,
IEEE Micro.
Sriram R. Vangal,
Jason Howard,
James Tschanz,
2014,
2014 19th Asia and South Pacific Design Automation Conference (ASP-DAC).
Patrick Groeneveld,
Andrew B. Kahng,
Shekhar Y. Borkar,
2003,
Proceedings 2003. Design Automation Conference (IEEE Cat. No.03CH37451).
William J. Dally,
Shekhar Y. Borkar,
2007,
Proceedings of the 2007 international symposium on Low power electronics and design (ISLPED '07).
Sanu Mathew,
Mark Anders,
Himanshu Kaul,
2008,
2008 IEEE International Solid-State Circuits Conference - Digest of Technical Papers.
James Tschanz,
Vivek De,
Shekhar Y. Borkar,
2014,
Proceedings of the IEEE 2014 Custom Integrated Circuits Conference.
Sanu Mathew,
Mark Anders,
Himanshu Kaul,
2013,
IEEE Journal of Solid-State Circuits.
Shekhar Y. Borkar,
2005,
VLSI Design.
Shekhar Y. Borkar,
S. Borkar,
2005,
IEEE Micro.
Keith A. Bowman,
James Tschanz,
Vivek De,
2009,
2009 46th ACM/IEEE Design Automation Conference.
Vivek De,
Shekhar Y. Borkar,
2000,
ACM Great Lakes Symposium on VLSI.
Saurabh Dighe,
Sriram R. Vangal,
Paolo A. Aseron,
2012,
2012 IEEE International Solid-State Circuits Conference.
Shekhar Y. Borkar,
2010,
SLIP '10.
Sanu Mathew,
Sudhir Satpathy,
Mark Anders,
2014,
IEEE Journal of Solid-State Circuits.
Vivek De,
Shekhar Y. Borkar,
Tanay Karnik,
2004,
Proceedings. 41st Design Automation Conference, 2004..
Kenneth M. Butler,
Shekhar Y. Borkar,
Chien-Ping Lu,
2010
.
Vivek De,
Shekhar Y. Borkar,
Siva G. Narendra,
2001,
ISLPED '01.
James Tschanz,
Vivek De,
Shekhar Y. Borkar,
2003,
Proceedings 2003. Design Automation Conference (IEEE Cat. No.03CH37451).
Sanu Mathew,
Mark Anders,
Himanshu Kaul,
2012,
2012 IEEE International Solid-State Circuits Conference.
Shekhar Y. Borkar,
Thomas R. Gross,
Robert S. Cohn,
1988,
Proceedings. SUPERCOMPUTING '88.
Shekhar Y. Borkar,
Joshua B. Fryman,
Balasubramanian Seshasayee,
2017,
Parallel Comput..
Sanu Mathew,
Mark Anders,
Himanshu Kaul,
2009,
2009 IEEE International Solid-State Circuits Conference - Digest of Technical Papers.
Shekhar Y. Borkar,
2013,
CACM.
Sanu Mathew,
Mark Anders,
Himanshu Kaul,
2010,
2010 IEEE International Solid-State Circuits Conference - (ISSCC).
Shekhar Y. Borkar,
S. Borkar,
2009,
2009 46th ACM/IEEE Design Automation Conference.
Sanu Mathew,
Mark Anders,
Himanshu Kaul,
2010,
2010 IEEE International Solid-State Circuits Conference - (ISSCC).