Jungsoo Kim

发表

Chong-Min Kyung, Younghoon Lee, Jungsoo Kim, 2012, IEEE Transactions on Very Large Scale Integration (VLSI) Systems.

Christhina Candido, Jungsoo Kim, Richard de Dear, 2016 .

Kent Lyons, Thad Starner, Jungsoo Kim, 2007, 2007 11th IEEE International Symposium on Wearable Computers.

David Atienza, Martino Ruggiero, Jungsoo Kim, 2013, 2013 Design, Automation & Test in Europe Conference & Exhibition (DATE).

Chong-Min Kyung, Sungjoo Yoo, Jungsoo Kim, 2010, IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems.

Richard de Dear, Le Thomas, Thomas Parkinson, 2013 .

Chong-Min Kyung, Sungjoo Yoo, Jungsoo Kim, 2011, IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems.

Chong-Min Kyung, Tae-Rim Kim, Jungsoo Kim, 2011, 2011 IEEE International Conference on Multimedia and Expo.

Chong-Min Kyung, Jungsoo Kim, Hyungkyu Kim, 2011, 2011 IEEE International Symposium of Circuits and Systems (ISCAS).

Chong-Min Kyung, Jaemoon Kim, Sungjoo Yoo, 2010, 2010 IEEE International Conference on Multimedia and Expo.

Chong-Min Kyung, Sungjoo Yoo, Jungsoo Kim, 2011, IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems.

David Atienza, Mohamed M. Sabry, Kenny C. Gross, 2014, 2014 Design, Automation & Test in Europe Conference & Exhibition (DATE).

Chong-Min Kyung, Jungsoo Kim, Giwon Kim, 2012, 2012 IEEE International Conference on Multimedia and Expo.

David Atienza, Mohamed M. Sabry, Martino Ruggiero, 2015, Handbook on Data Centers.

Youngwon Kim, Jungsoo Kim, Jaesung Oh, 2013, 2013 IEEE International Conference on Consumer Electronics (ICCE).

Chong-Min Kyung, Sungjoo Yoo, Jungsoo Kim, 2009 .

Chong-Min Kyung, Jungsoo Kim, Kyungsu Kang, 2007, 2007 IFIP International Conference on Very Large Scale Integration.

Chong-Min Kyung, Jungsoo Kim, Yongho Jang, 2010, IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems.

Chong-Min Kyung, Sungjoo Yoo, Younghoon Lee, 2010, 2010 15th Asia and South Pacific Design Automation Conference (ASP-DAC).

David Atienza, Martino Ruggiero, Jungsoo Kim, 2012, 2012 International Conference on High Performance Computing & Simulation (HPCS).

Chong-Min Kyung, Tae-Rim Kim, Jungsoo Kim, 2010, 2010 International SoC Design Conference.

Chong-Min Kyung, Sungjoo Yoo, Jungsoo Kim, 2009, IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems.

Chong-Min Kyung, Seonpil Kim, Jungsoo Kim, 2008, 2008 IEEE International Symposium on Circuits and Systems.

Chong-Min Kyung, Jaemoon Kim, Jungsoo Kim, 2009, 2009 IEEE International Conference on Multimedia and Expo.

Kent Lyons, Tracy L. Westeyn, Thad Starner, 2007, HCI.

Chong-Min Kyung, Jaemoon Kim, Jungsoo Kim, 2011, 2011 IEEE 54th International Midwest Symposium on Circuits and Systems (MWSCAS).

Chong-Min Kyung, Sungjoo Yoo, Jungsoo Kim, 2009, 2009 Design, Automation & Test in Europe Conference & Exhibition.