S. Vangal

发表

A. Alvandpour, S. Vangal, N. Borkar, 2005, Digest of Technical Papers. 2005 Symposium on VLSI Circuits, 2005..

S. Vangal, G.E. Dermer, R. Nair, 2001, 2001 IEEE International Solid-State Circuits Conference. Digest of Technical Papers. ISSCC (Cat. No.01CH37177).

S. Vangal, N. Borkar, S. Mathew, 2003, 2003 IEEE International Solid-State Circuits Conference, 2003. Digest of Technical Papers. ISSCC..

J. Tschanz, S. Narendra, V. De, 2004, 2004 IEEE International Solid-State Circuits Conference (IEEE Cat. No.04CH37519).

S. Narendra, S. Borkar, S. Vangal, 2002, 2002 IEEE International Solid-State Circuits Conference. Digest of Technical Papers (Cat. No.02CH37315).

A. Alvandpour, S. Vangal, N. Borkar, 2007, 2007 IEEE Symposium on VLSI Circuits.

J. Tschanz, S. Narendra, R. Krishnamurthy, 2002, 2002 IEEE International Solid-State Circuits Conference. Digest of Technical Papers (Cat. No.02CH37315).

S. Vangal, T. Karnik, P. Hazucha, 2002, 2002 Symposium on VLSI Circuits. Digest of Technical Papers (Cat. No.02CH37302).