Xijiang Lin

发表

Nilanjan Mukherjee, Benoit Nadeau-Dostie, Janusz Rajski, 2011, 2011 Asian Test Symposium.

Chen Wang, Kun-Han Tsai, Xijiang Lin, 2006, 2006 15th Asian Test Symposium.

Dat Tran, Bruce Swanson, Xijiang Lin, 2010, 2010 IEEE International Test Conference.

Jianbo Li, Krishnendu Chakrabarty, Dong Xiang, 2013, TODE.

Matthias Beck, Xijiang Lin, Olivier Barondeau, 2005, 23rd IEEE VLSI Test Symposium (VTS'05).

Xijiang Lin, Yu Huang, 2007, 16th Asian Test Symposium (ATS 2007).

Sudhakar M. Reddy, Xijiang Lin, 2016, 2016 International Symposium on VLSI Design, Automation and Test (VLSI-DAT).

Janusz Rajski, Xijiang Lin, 2008, 2008 17th Asian Test Symposium.

Janusz Rajski, Nagesh Tamarapalli, Bruce Swanson, 2003, IEEE Design & Test of Computers.

Janusz Rajski, Xijiang Lin, 2012, 2012 IEEE 21st Asian Test Symposium.

Irith Pomeranz, Sudhakar M. Reddy, Xijiang Lin, 1999, 1999 IEEE/ACM International Conference on Computer-Aided Design. Digest of Technical Papers (Cat. No.99CH37051).

Kun-Han Tsai, Xijiang Lin, 2013, 2013 22nd Asian Test Symposium.

Xijiang Lin, Mark Kassab, Tom Waayers, 2010, 2010 IEEE International Test Conference.

Nilanjan Mukherjee, Sudhakar M. Reddy, Janusz Rajski, 2010, 2010 IEEE International Test Conference.

Xiaoqing Wen, Dong Xiang, Bhargab B. Bhattacharya, 2016, IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems.

Sudhakar M. Reddy, Janusz Rajski, Xijiang Lin, 2015, 2015 28th International Conference on VLSI Design.

Irith Pomeranz, Sudhakar M. Reddy, Wu-Tung Cheng, 2000, Proceedings 18th IEEE VLSI Test Symposium.

Irith Pomeranz, Sudhakar M. Reddy, Janusz Rajski, 2007, 20th International Conference on VLSI Design held jointly with 6th International Conference on Embedded Systems (VLSID'07).

Irith Pomeranz, Wu-Tung Cheng, Xijiang Lin, 2019, 2019 IEEE 28th Asian Test Symposium (ATS).

Irith Pomeranz, Sudhakar M. Reddy, Janusz Rajski, 2006, 24th IEEE VLSI Test Symposium.

Irith Pomeranz, Xijiang Lin, I. Pomeranz, 2021, IEEE Transactions on Very Large Scale Integration (VLSI) Systems.

Xijiang Lin, M. Kassab, J. Rajski, 2007, 16th Asian Test Symposium (ATS 2007).

Sudhakar M. Reddy, Wu-Tung Cheng, Xijiang Lin, 2016, 2016 IEEE 25th Asian Test Symposium (ATS).

Irith Pomeranz, Sudhakar M. Reddy, Janusz Rajski, 2002, IEEE/ACM International Conference on Computer Aided Design, 2002. ICCAD 2002..

Janusz Rajski, Xijiang Lin, 2008, 2008 IEEE International Test Conference.

Xijiang Lin, Kun-Han Tsai, Uri Shpiro, 2020, 2020 IEEE International Test Conference (ITC).

Irith Pomeranz, Sudhakar M. Reddy, Xijiang Lin, 1997 .

Matthias Beck, Xijiang Lin, Olivier Barondeau, 2005, Design, Automation and Test in Europe.

Janusz Rajski, Jerzy Tyszer, Xijiang Lin, 2009, IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems.

Irith Pomeranz, Sudhakar M. Reddy, Xijiang Lin, 1999, Proceedings 17th IEEE VLSI Test Symposium (Cat. No.PR00146).

Sudhakar M. Reddy, Janusz Rajski, Xijiang Lin, 2016, 2016 21th IEEE European Test Symposium (ETS).

Xijiang Lin, 2011, 2011 International Green Computing Conference and Workshops.

Sudhakar M. Reddy, Xijiang Lin, 2015, 2015 IEEE International Test Conference (ITC).

Irith Pomeranz, Sudhakar M. Reddy, Xijiang Lin, 1998, Proceedings Eleventh International Conference on VLSI Design.

Irith Pomeranz, Bo Yao, Xijiang Lin, 2017, 2017 IEEE Computer Society Annual Symposium on VLSI (ISVLSI).

Janusz Rajski, Jerzy Tyszer, Xijiang Lin, 2008, 2008 IEEE International Test Conference.

Irith Pomeranz, Sudhakar M. Reddy, Xijiang Lin, 2001, Proceedings 10th Asian Test Symposium.

Irith Pomeranz, Sudhakar M. Reddy, Xijiang Lin, 1998, Proceedings. 16th IEEE VLSI Test Symposium (Cat. No.98TB100231).

Benoit Nadeau-Dostie, Xijiang Lin, Mark Kassab, 2014, Testing for Small-Delay Defects in Nanoscale CMOS Integrated Circuits.

Xijiang Lin, J. Rajski, 2005, Proceedings of the ASP-DAC 2005. Asia and South Pacific Design Automation Conference, 2005..

Janusz Rajski, Xijiang Lin, 2006, 24th IEEE VLSI Test Symposium.

Xijiang Lin, 2017, 2017 IEEE International Test Conference (ITC).

Janusz Rajski, Wu-Tung Cheng, Xijiang Lin, 2015, 2015 IEEE 24th Asian Test Symposium (ATS).

Irith Pomeranz, Sudhakar M. Reddy, Janusz Rajski, 2007, IEEE Design & Test of Computers.

Janusz Rajski, Xijiang Lin, 2010, 2010 19th IEEE Asian Test Symposium.

Wu-Tung Cheng, Yu Huang, Jennifer Dworak, 2010, IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems.

Wu-Tung Cheng, Jennifer Dworak, Xijiang Lin, 2008, 26th IEEE VLSI Test Symposium (vts 2008).

Irith Pomeranz, Sudhakar M. Reddy, Janusz Rajski, 2002, ICCAD 2002.

Irith Pomeranz, Sudhakar M. Reddy, Janusz Rajski, 2006, 2006 IEEE International Test Conference.

Irith Pomeranz, Sudhakar M. Reddy, Xijiang Lin, 1999, Design, Automation and Test in Europe Conference and Exhibition, 1999. Proceedings (Cat. No. PR00078).

Janusz Rajski, Xijiang Lin, Mark Kassab, 2014, 2014 19th IEEE European Test Symposium (ETS).

Xijiang Lin, Mark Kassab, 2009, 2009 Asian Test Symposium.

Janusz Rajski, Xijiang Lin, 2008 .

Irith Pomeranz, Sudhakar M. Reddy, Janusz Rajski, 2001, Proceedings International Test Conference 2001 (Cat. No.01CH37260).

Xijiang Lin, Rob Thompson, 2003, DAC '03.

Xijiang Lin, 2012, 2012 IEEE 21st Asian Test Symposium.

Irith Pomeranz, Sudhakar M. Reddy, Xijiang Lin, 1998, Proceedings International Conference on Computer Design. VLSI in Computers and Processors (Cat. No.98CB36273).

Xijiang Lin, Yu Huang, 2008, J. Electron. Test..

Sudhakar M. Reddy, Xijiang Lin, 2019, 2019 IEEE European Test Symposium (ETS).

Andreas Glowatz, Xijiang Lin, Wu-Tung Cheng, 2021, 2021 IEEE 30th Asian Test Symposium (ATS).

Kun-Han Tsai, Xijiang Lin, Khen Wee, 2021, 2021 IEEE 39th VLSI Test Symposium (VTS).