Xin Zhao

发表

Jae-Seok Yang, Jiwoo Pak, Xin Zhao, 2011, 16th Asia and South Pacific Design Automation Conference (ASP-DAC 2011).

Xin Zhao, Sung Kyu Lim, Saibal Mukhopadhyay, 2011, IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems.

Xin Zhao, Sung Kyu Lim, J Minz, 2011, IEEE Transactions on Components, Packaging and Manufacturing Technology.

Xin Zhao, Sung Kyu Lim, Michael Scheuermann, 2012, DAC Design Automation Conference 2012.

Xin Zhao, Sung Kyu Lim, Krit Athikulwongse, 2010, 2010 15th Asia and South Pacific Design Automation Conference (ASP-DAC).

Xin Zhao, Sung Kyu Lim, Jacob R. Minz, 2008, 2008 Asia and South Pacific Design Automation Conference.

Xin Zhao, Sung Kyu Lim, Saibal Mukhopadhyay, 2009, ISLPED.

Xin Zhao, Sung Kyu Lim, 2010, 2010 15th Asia and South Pacific Design Automation Conference (ASP-DAC).

Xin Zhao, Sung Kyu Lim, 2012, 17th Asia and South Pacific Design Automation Conference.

Hsien-Hsin S. Lee, Xin Zhao, Sung Kyu Lim, 2011, 2011 IEEE 29th International Conference on Computer Design (ICCD).

Xin Zhao, Sung Kyu Lim, Mongkol Ekpanyapong, 2007, 2007 Asia and South Pacific Design Automation Conference.

Chun Zhang, Hao Yu, Yang Shang, 2013, 2013 18th Asia and South Pacific Design Automation Conference (ASP-DAC).

Hsien-Hsin S. Lee, Xin Zhao, Sung Kyu Lim, 2015, IEEE Transactions on Computers.

Hsien-Hsin S. Lee, Xin Zhao, Sung Kyu Lim, 2011, IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems.

Hsien-Hsin S. Lee, Xin Zhao, Sung Kyu Lim, 2010, IEEE Custom Integrated Circuits Conference 2010.

Hsien-Hsin S. Lee, Xin Zhao, Sung Kyu Lim, 2012, 2012 IEEE International Solid-State Circuits Conference.

Xin Zhao, Sung Kyu Lim, Saibal Mukhopadhyay, 2011, 2011 IEEE 61st Electronic Components and Technology Conference (ECTC).

Xin Zhao, Jiankang He, Dichen Li, 2018, Materials.

Xin Zhao, Sung Kyu Lim, Yang Wan, 2013, 2013 IEEE/ACM International Conference on Computer-Aided Design (ICCAD).

Hsien-Hsin S. Lee, Xin Zhao, Sung Kyu Lim, 2009, 2009 IEEE/ACM International Conference on Computer-Aided Design - Digest of Technical Papers.