Sung Kyu Lim
发表
Jae-Seok Yang,
Jiwoo Pak,
Xin Zhao,
2011,
16th Asia and South Pacific Design Automation Conference (ASP-DAC 2011).
Jae-Seok Yang,
Jiwoo Pak,
Sung Kyu Lim,
2012,
17th Asia and South Pacific Design Automation Conference.
Sung Kyu Lim,
Jacob Rajkumar Minz,
S. Lim,
2006
.
Sung Kyu Lim,
Taehyun Kim,
Kimyung Kyung,
2012,
Defense + Commercial Sensing.
Sung Kyu Lim,
Young-Joon Lee,
2012,
Thirteenth International Symposium on Quality Electronic Design (ISQED).
Jason Cong,
Sung Kyu Lim,
2000
.
Xin Zhao,
Sung Kyu Lim,
Saibal Mukhopadhyay,
2011,
IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems.
Sung Kyu Lim,
R. Ravichandran,
J. Minz,
2004,
2004 Proceedings. 54th Electronic Components and Technology Conference (IEEE Cat. No.04CH37546).
Sung Kyu Lim,
Daehyun Kim,
S. Lim,
2008,
2008 IEEE International Conference on Computer Design.
Sung Kyu Lim,
Taigon Song,
Shreepad Panth,
2015,
2015 IEEE/ACM International Conference on Computer-Aided Design (ICCAD).
Xin Zhao,
Sung Kyu Lim,
J Minz,
2011,
IEEE Transactions on Components, Packaging and Manufacturing Technology.
Sung Kyu Lim,
Young-Joon Lee,
2010,
2010 IEEE International 3D Systems Integration Conference (3DIC).
Hsien-Hsin S. Lee,
Sung Kyu Lim,
Gabriel H. Loh,
2006,
Proceedings of the Design Automation & Test in Europe Conference.
Jae-Seok Yang,
Sung Kyu Lim,
David Z. Pan,
2010,
Design Automation Conference.
Sung Kyu Lim,
Chang Liu,
Joohee Kim,
2011,
2011 48th ACM/EDAC/IEEE Design Automation Conference (DAC).
Sung Kyu Lim,
Sandeep Kumar Samal,
Deepak Nayak,
2016,
2016 IEEE/ACM International Conference on Computer-Aided Design (ICCAD).
David V. Anderson,
Sung Kyu Lim,
I. Faik Baskaya,
2006,
IEEE Transactions on Very Large Scale Integration (VLSI) Systems.
Sung Kyu Lim,
Dae Hyun Kim,
2011,
2011 IEEE International Interconnect Technology Conference.
Sung Kyu Lim,
Young-Joon Lee,
Rohan Goel,
2009,
2009 IEEE/ACM International Conference on Computer-Aided Design - Digest of Technical Papers.
Jiwoo Pak,
Sung Kyu Lim,
David Z. Pan,
2012,
2012 IEEE/ACM International Conference on Computer-Aided Design (ICCAD).
Sung Kyu Lim,
Mongkol Ekpanyapong,
Michael B. Healy,
2005,
International Conference on Field Programmable Logic and Applications, 2005..
Sung Kyu Lim,
Yarui Peng,
Dusan Petranovic,
2015,
IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems.
Sung Kyu Lim,
Daehyun Kim,
2010,
SLIP '10.
Sung Kyu Lim,
J. Cong,
2000,
Proceedings 2000. Design Automation Conference. (IEEE Cat. No.00CH37106).
Sung Kyu Lim,
Young-Joon Lee,
Michael B. Healy,
2011
.
Sung Kyu Lim,
Shreepad Panth,
Kambiz Samadi,
2013,
2013 IEEE International 3D Systems Integration Conference (3DIC).
Sung Kyu Lim,
Chang Liu,
2010,
2010 IEEE International Interconnect Technology Conference.
Jiwoo Pak,
Sung Kyu Lim,
David Z. Pan,
2014,
IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems.
Sung Kyu Lim,
Mohit Pathak,
Jacob R. Minz,
2004,
Proceedings Design, Automation and Test in Europe Conference and Exhibition.
Sung Kyu Lim,
Michael T. Niemier,
Ramprasad Ravichandran,
2005,
Integr..
Sung Kyu Lim,
Moongon Jung,
Yarui Peng,
2014,
2014 51st ACM/EDAC/IEEE Design Automation Conference (DAC).
Yu Cao,
Sung Kyu Lim,
Jae-sun Seo,
2017,
2017 IEEE/ACM International Symposium on Low Power Electronics and Design (ISLPED).
Jae-Seok Yang,
Sung Kyu Lim,
David Z. Pan,
2013,
IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems.
Sung Kyu Lim,
2014,
J. Inform. and Commun. Convergence Engineering.
Sung Kyu Lim,
S. Lim,
2012
.
Jason Cong,
Sung Kyu Lim,
Toshiyuki Shibuya,
1997,
ICCAD 1997.
Sung Kyu Lim,
Daehyun Kim,
Suyoun Kim,
2011,
International Workshop on System Level Interconnect Prediction.
Sung Kyu Lim,
Madhavan Swaminathan,
Jacob Rajkumar Minz,
2004
.
Sung Kyu Lim,
Krit Athikulwongse,
Mongkol Ekpanyapong,
2016,
IEICE Electron. Express.
Xin Zhao,
Sung Kyu Lim,
Michael Scheuermann,
2012,
DAC Design Automation Conference 2012.
Hsien-Hsin S. Lee,
Sung Kyu Lim,
Michael B. Healy,
2008,
2008 Asia and South Pacific Design Automation Conference.
Sung Kyu Lim,
2017,
J. Inform. and Commun. Convergence Engineering.
Sung Kyu Lim,
Mohit Pathak,
2007,
2007 IEEE/ACM International Conference on Computer-Aided Design.
Krishnendu Chakrabarty,
Sung Kyu Lim,
Shreepad Panth,
2015,
IEEE Transactions on Very Large Scale Integration (VLSI) Systems.
Sung Kyu Lim,
Moongon Jung,
Azad Naeemi,
2015,
IEEE Transactions on Electron Devices.
Sung Kyu Lim,
Moongon Jung,
Azad Naeemi,
2014,
IEEE International Interconnect Technology Conference.
Sung Kyu Lim,
Peter Debacker,
Praveen Raghavan,
2016,
2016 IEEE/ACM International Conference on Computer-Aided Design (ICCAD).
Sung Kyu Lim,
Xin Zhao,
2012,
ISLPED '12.
Sung Kyu Lim,
Peng Li,
Bon Woong Ku,
2018,
2018 55th ACM/ESDA/IEEE Design Automation Conference (DAC).
Chang-Chih Chen,
Sung Kyu Lim,
Daehyun Kim,
2014,
Microelectron. J..
Catherine D. Schuman,
Sung Kyu Lim,
Garrett S. Rose,
2017,
NCS.
Xin Zhao,
Sung Kyu Lim,
Krit Athikulwongse,
2010,
2010 15th Asia and South Pacific Design Automation Conference (ASP-DAC).
Sung Kyu Lim,
Sandeep Kumar Samal,
Motoi Ichihashi,
2016,
2016 International Symposium on VLSI Technology, Systems and Application (VLSI-TSA).
Chun Zhang,
Yiyu Shi,
Sung Kyu Lim,
2013,
2013 IEEE/ACM International Conference on Computer-Aided Design (ICCAD).
Sung Kyu Lim,
M. Pathak,
2012,
IEEE Transactions on Components, Packaging and Manufacturing Technology.
Sung Kyu Lim,
Michael B. Healy,
2011,
2011 Design, Automation & Test in Europe.
Sung Kyu Lim,
2013
.
Sung Kyu Lim,
Trond Ytterdal,
Hourieh Attarzadeh,
2015,
2015 IEEE International Symposium on Circuits and Systems (ISCAS).
Xin Zhao,
Sung Kyu Lim,
Jacob R. Minz,
2008,
2008 Asia and South Pacific Design Automation Conference.
Sung Kyu Lim,
Yarui Peng,
Dusan Petranovic,
2014,
2014 51st ACM/EDAC/IEEE Design Automation Conference (DAC).
Xin Zhao,
Sung Kyu Lim,
Michael R. Scheuermann,
2014,
IEEE Transactions on Components, Packaging and Manufacturing Technology.
Sung Kyu Lim,
Shreepad Panth,
Kambiz Samadi,
2014,
IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems.
Sung Kyu Lim,
Kambiz Samadi,
Sandeep Kumar Samal,
2017,
IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems.
Sung Kyu Lim,
Yarui Peng,
Taigon Song,
2014,
IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems.
Sung Kyu Lim,
Gabriel H. Loh,
Mongkol Ekpanyapong,
2007,
IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems.
Sung Kyu Lim,
Jacob Rajkumar Minz,
2004
.
Catherine D. Schuman,
Sung Kyu Lim,
Garrett S. Rose,
2018,
2018 31st IEEE International System-on-Chip Conference (SOCC).
Quan Chen,
Sung Kyu Lim,
Li Jiang,
2018,
2018 Design, Automation & Test in Europe Conference & Exhibition (DATE).
Sung Kyu Lim,
Arthur Nieuwoudt,
Taigon Song,
2017,
2017 IEEE/ACM International Symposium on Low Power Electronics and Design (ISLPED).
Hsien-Hsin S. Lee,
Sung Kyu Lim,
Mongkol Ekpanyapong,
2006,
IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems.
Sung Kyu Lim,
David Z. Pan,
Moongon Jung,
2011,
IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems.
Sung Kyu Lim,
Sandeep Kumar Samal,
Deepak Nayak,
2016,
2016 IEEE SOI-3D-Subthreshold Microelectronics Technology Unified Conference (S3S).
Sung Kyu Lim,
Karthik Balakrishnan,
Mongkol Ekpanyapong,
2004,
2004 IEEE International Symposium on Circuits and Systems (IEEE Cat. No.04CH37512).
Sung Kyu Lim,
Shreepad Panth,
Kambiz Samadi,
2015,
2015 52nd ACM/EDAC/IEEE Design Automation Conference (DAC).
Sung Kyu Lim,
Yarui Peng,
Dusan Petranovic,
2017,
2017 IEEE Electrical Design of Advanced Packaging and Systems Symposium (EDAPS).
Sung Kyu Lim,
Shreepad Panth,
Kambiz Samadi,
2014,
2014 51st ACM/EDAC/IEEE Design Automation Conference (DAC).
Sung Kyu Lim,
Jacob Rajkumar Minz,
2004
.
Sung Kyu Lim,
Peter G. Sassone,
2006,
IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems.
Sung Kyu Lim,
Young-Joon Lee,
S. Lim,
2013,
IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems.
Sung Kyu Lim,
Young-Joon Lee,
2008,
2008 Electrical Design of Advanced Packaging and Systems Symposium.
Hsien-Hsin S. Lee,
Sung Kyu Lim,
Michael B. Healy,
2011,
TODE.
Sung Kyu Lim,
Eric Wong,
Jacob R. Minz,
2005,
Proceedings 2005 IEEE International SOC Conference.
Hsien-Hsin S. Lee,
Sung Kyu Lim,
Michael B. Healy,
2006,
2006 39th Annual IEEE/ACM International Symposium on Microarchitecture (MICRO'06).
Sung Kyu Lim,
Saibal Mukhopadhyay,
Daehyun Kim,
2014,
IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems.
Yiyu Shi,
Sung Kyu Lim,
Sandeep Kumar Samal,
2019,
IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems.
Sung Kyu Lim,
Young-Joon Lee,
Daniel B. Limbrick,
2013,
2013 50th ACM/EDAC/IEEE Design Automation Conference (DAC).
Sung Kyu Lim,
Krit Athikulwongse,
Moongon Jung,
2013,
2013 18th Asia and South Pacific Design Automation Conference (ASP-DAC).
Xin Zhao,
Sung Kyu Lim,
Kwanyeob Chae,
2013,
IEEE Transactions on Components, Packaging and Manufacturing Technology.
Sung Kyu Lim,
Da Eun Shim,
Sai Surya Kiran Pentapati,
2019,
ACM Great Lakes Symposium on VLSI.
Shidhartha Das,
Sung Kyu Lim,
Saurabh Sinha,
2017,
2017 IEEE/ACM International Symposium on Low Power Electronics and Design (ISLPED).
Linda Milor,
Sung Kyu Lim,
Dae-Hyun Kim,
2014,
IEEE International Interconnect Technology Conference.
Saurabh Sinha,
Shidhartha Das,
Sung Kyu Lim,
2019,
IEEE Transactions on Very Large Scale Integration (VLSI) Systems.
Sung Kyu Lim,
Jacob R. Minz,
Somaskanda Thyagaraja,
2006,
Proceedings of the Design Automation & Test in Europe Conference.
Sung Kyu Lim,
Shreepad Panth,
Sandeep Kumar Samal,
2014,
2014 SOI-3D-Subthreshold Microelectronics Technology Unified Conference (S3S).
Sung Kyu Lim,
Brian Stephen Smith,
2005,
GLSVLSI '05.
Sung Kyu Lim,
Daehyun Kim,
2008,
2008 Asia and South Pacific Design Automation Conference.
Guoqing Chen,
Sung Kyu Lim,
Sandeep Kumar Samal,
2016,
J. Inform. and Commun. Convergence Engineering.
Sung Kyu Lim,
Krit Athikulwongse,
Daehyun Kim,
2013,
IEEE Transactions on Very Large Scale Integration (VLSI) Systems.
Sung Kyu Lim,
Saurabh Sinha,
Brian Cline,
2016,
2016 53nd ACM/EDAC/IEEE Design Automation Conference (DAC).
Sung Kyu Lim,
Mongkol Ekpanyapong,
M. Ekpanyapong,
2003
.
Hsien-Hsin S. Lee,
Sung Kyu Lim,
Jacob Rajkumar Minz,
2006,
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst..
Sung Kyu Lim,
Eric Wong,
Jacob R. Minz,
2006,
2006 IEEE/ACM International Conference on Computer Aided Design.
Xin Zhao,
Sung Kyu Lim,
Saibal Mukhopadhyay,
2009,
ISLPED.
Sung Kyu Lim,
Michael B. Healy,
M. Ekpanyapong,
2005,
Proceedings of the ASP-DAC 2005. Asia and South Pacific Design Automation Conference, 2005..
Jason Cong,
Sung Kyu Lim,
Chang Wu,
2000,
Proceedings 37th Design Automation Conference.
Sung Kyu Lim,
Shreepad Panth,
2011,
29th VLSI Test Symposium.
Ankur Srivastava,
Sung Kyu Lim,
Caleb Serafy,
2017,
IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems.
Sung Kyu Lim,
Kyoung-Keun Lee,
Edward J. Paradise,
2003
.
Sung Kyu Lim,
J.R. Minz,
2004,
Electrical Performance of Electronic Packaging - 2004.
Sung Kyu Lim,
Jacob Rajkumar Minz,
2003
.
Jason Cong,
Sung Kyu Lim,
2004,
IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems.
Sung Kyu Lim,
John Carson,
Anthony Agnesina,
2018,
DAC.
Sung Kyu Lim,
Mongkol Ekpanyapong,
Michael B. Healy,
2005,
ASP-DAC.
Hong Wang,
Vijay Pitchumani,
Sung Kyu Lim,
2013,
Proceedings of the IEEE 2013 Custom Integrated Circuits Conference.
Sung Kyu Lim,
Jean Nguyen,
Michael T. Niemier,
2004,
GLSVLSI '04.
Sung Kyu Lim,
Yarui Peng,
Taigon Song,
2013,
2013 IEEE/ACM International Conference on Computer-Aided Design (ICCAD).
Jason Cong,
Sung Kyu Lim,
Toshiyuki Shibuya,
1997,
1997 Proceedings of IEEE International Conference on Computer Aided Design (ICCAD).
Sung Kyu Lim,
2013
.
Saurabh Sinha,
Sung Kyu Lim,
Brian Cline,
2017,
IEEE Transactions on Very Large Scale Integration (VLSI) Systems.
Sung Kyu Lim,
David Z. Pan,
Moongon Jung,
2012,
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst..
Sung Kyu Lim,
Mongkol Ekpanyapong,
2006,
ISPD '06.
Sung Kyu Lim,
Mohit Pathak,
Young-Joon Lee,
2010,
2010 IEEE/ACM International Conference on Computer-Aided Design (ICCAD).
Sung Kyu Lim,
Daehyun Kim,
2012,
IEEE Journal on Emerging and Selected Topics in Circuits and Systems.
Sung Kyu Lim,
Shreepad Panth,
Kambiz Samadi,
2014,
2014 51st ACM/EDAC/IEEE Design Automation Conference (DAC).
Hsien-Hsin S. Lee,
Sung Kyu Lim,
Michael B. Healy,
2007,
2007 Asia and South Pacific Design Automation Conference.
Sung Kyu Lim,
Taigon Song,
Darryl Kostka,
2013,
2013 IEEE 63rd Electronic Components and Technology Conference.
Sung Kyu Lim,
Karthik Balakrishnan,
S. Easwar,
2004
.
Sung Kyu Lim,
Moongon Jung,
Yarui Peng,
2015,
IEEE Transactions on Components, Packaging and Manufacturing Technology.
Sung Kyu Lim,
Jacob R. Minz,
S. Lim,
2006,
IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems.
Sung Kyu Lim,
Shreepad Panth,
Kambiz Samadi,
2016,
IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems.
Xin Zhao,
Sung Kyu Lim,
2010,
2010 15th Asia and South Pacific Design Automation Conference (ASP-DAC).
Sung Kyu Lim,
Eric Wong,
Jacob R. Minz,
2006,
IEEE Transactions on Very Large Scale Integration (VLSI) Systems.
Jiwoo Pak,
Sung Kyu Lim,
David Z. Pan,
2013,
2013 IEEE/ACM International Conference on Computer-Aided Design (ICCAD).
Sung Kyu Lim,
Eric Wong,
2007,
2007 25th International Conference on Computer Design.
Yu Cao,
Sung Kyu Lim,
Jae-sun Seo,
2018,
ACM J. Emerg. Technol. Comput. Syst..
Sung Kyu Lim,
Dae Hyun Kim,
2015
.
Sung Kyu Lim,
Shreepad Panth,
Kambiz Samadi,
2013,
2013 18th Asia and South Pacific Design Automation Conference (ASP-DAC).
Krishnendu Chakrabarty,
Sung Kyu Lim,
Abhishek Koneru,
2017,
2017 IEEE/ACM International Conference on Computer-Aided Design (ICCAD).
Sung Kyu Lim,
Trond Ytterdal,
Hourieh Attarzadeh,
2016,
Microelectron. J..
Sung Kyu Lim,
Chang Liu,
Yarui Peng,
2013,
2013 50th ACM/EDAC/IEEE Design Automation Conference (DAC).
Xin Zhao,
Sung Kyu Lim,
2012,
17th Asia and South Pacific Design Automation Conference.
Sung Kyu Lim,
2013
.
Jongman Kim,
Sung Kyu Lim,
Junghee Lee,
2013,
IEEE Transactions on Very Large Scale Integration (VLSI) Systems.
Sung Kyu Lim,
Moongon Jung,
2010,
2010 IEEE International 3D Systems Integration Conference (3DIC).
Sung Kyu Lim,
Shreepad Panth,
Kambiz Samadi,
2014,
2014 IEEE/ACM International Symposium on Low Power Electronics and Design (ISLPED).
David V. Anderson,
Sung Kyu Lim,
Paul Hasler,
2009,
2009 European Conference on Circuit Theory and Design.
Sung Kyu Lim,
Young-Joon Lee,
Inki Hong,
2012,
Proceedings of the IEEE 2012 Custom Integrated Circuits Conference.
Sung Kyu Lim,
Ramprasad Ravichandran,
Pun Hang Shiu,
2004,
2004 IEEE International Symposium on Circuits and Systems (IEEE Cat. No.04CH37512).
Sung Kyu Lim,
Yarui Peng,
Taigon Song,
2015,
2015 IEEE/ACM International Conference on Computer-Aided Design (ICCAD).
Sung Kyu Lim,
Vassilios Gerousis,
Kyungwook Chang,
2020,
2020 IEEE/ACM International Conference On Computer Aided Design (ICCAD).
Sung Kyu Lim,
Taigon Song,
Shreepad Panth,
2016,
IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems.
Sung Kyu Lim,
Yarui Peng,
Taigon Song,
2017,
IEEE Transactions on Components, Packaging and Manufacturing Technology.
Sung Kyu Lim,
Chang Liu,
Taigon Song,
2011,
2011 12th International Symposium on Quality Electronic Design.
Full-chip monolithic 3D IC design and power performance analysis with ASAP7 library: (Invited Paper)
Sung Kyu Lim,
Saurabh Sinha,
Bon Woong Ku,
2017,
2017 IEEE/ACM International Conference on Computer-Aided Design (ICCAD).
Qiang Xu,
Sung Kyu Lim,
Li Jiang,
2015,
2015 IEEE International Test Conference (ITC).
Sung Kyu Lim,
Shreepad Panth,
Kambiz Samadi,
2015,
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst..
Sung Kyu Lim,
S. Lim,
2005,
IEEE Design & Test of Computers.
Jiwoo Pak,
Sung Kyu Lim,
David Z. Pan,
2011,
2011 IEEE 61st Electronic Components and Technology Conference (ECTC).
Krishnendu Chakrabarty,
Sung Kyu Lim,
Shreepad Panth,
2012,
2012 IEEE 21st Asian Test Symposium.
Sung Kyu Lim,
Jae Min Kim,
Sung Woo Chung,
2016,
Microprocess. Microsystems.
The Impact of 3D Stacking and Technology Scaling on the Power and Area of Stereo Matching Processors
Yong-Hwan Lee,
Sung Kyu Lim,
Jae Hoon Shim,
2017,
Sensors.
Krishnendu Chakrabarty,
Sung Kyu Lim,
Saibal Mukhopadhyay,
2019,
2019 56th ACM/IEEE Design Automation Conference (DAC).
Chang-Chih Chen,
Sung Kyu Lim,
Daehyun Kim,
2014,
IEEE Transactions on Very Large Scale Integration (VLSI) Systems.
Sung Kyu Lim,
Chang Liu,
Yarui Peng,
2016,
IEEE Transactions on Very Large Scale Integration (VLSI) Systems.
Sung Kyu Lim,
David Z. Pan,
Moongon Jung,
2013,
IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems.
Sung Kyu Lim,
Sandeep Kumar Samal,
2016
.
Cheng-Kok Koh,
Sung Kyu Lim,
Jacob R. Minz,
2005,
GLSVLSI '05.
Sung Kyu Lim,
Young-Joon Lee,
Patrick Morrow,
2012,
2012 IEEE/ACM International Conference on Computer-Aided Design (ICCAD).
Hsien-Hsin S. Lee,
Sung Kyu Lim,
Mongkol Ekpanyapong,
2005,
2005 IEEE International Symposium on Circuits and Systems.
Hsien-Hsin S. Lee,
Xin Zhao,
Sung Kyu Lim,
2011,
2011 IEEE 29th International Conference on Computer Design (ICCD).
Sung Kyu Lim,
Sandeep Kumar Samal,
Deepak Nayak,
2016,
ISLPED.
Sung Kyu Lim,
M. Pathak,
S. Vadlamudi,
2007,
2007 IEEE Electrical Performance of Electronic Packaging.
Sung Kyu Lim,
Taigon Song,
N. Sturcken,
2012,
2012 IEEE 21st Conference on Electrical Performance of Electronic Packaging and Systems.
Sung Kyu Lim,
Moongon Jung,
Yarui Peng,
2017,
IEEE Transactions on Very Large Scale Integration (VLSI) Systems.
Sung Kyu Lim,
Bon Woong Ku,
B. W. Ku,
2018,
ISPD.
Sung Kyu Lim,
Sandeep Kumar Samal,
Yun Seop Yu,
2014
.
Xin Zhao,
Sung Kyu Lim,
Mongkol Ekpanyapong,
2007,
2007 Asia and South Pacific Design Automation Conference.
Sung Kyu Lim,
Michael T. Niemier,
Ramprasad Ravichandran,
2005,
Proceedings of the ASP-DAC 2005. Asia and South Pacific Design Automation Conference, 2005..
Sung Kyu Lim,
J.R. Minz,
S. Thyagara,
2007,
IEEE Transactions on Components and Packaging Technologies.
Sung Kyu Lim,
2013
.
Sung Kyu Lim,
Daehyun Kim,
Kaiyuan Yang,
2012,
Thirteenth International Symposium on Quality Electronic Design (ISQED).
Chun Zhang,
Hao Yu,
Yang Shang,
2013,
2013 18th Asia and South Pacific Design Automation Conference (ASP-DAC).
Jason Cong,
Sung Kyu Lim,
2000,
ASP-DAC.
Sung Kyu Lim,
Daehyun Kim,
Rasit Onur Topaloglu,
2011,
2011 International SoC Design Conference.
Jason Cong,
Sung Kyu Lim,
1998,
ICCAD.
Sung Kyu Lim,
Young-Joon Lee,
S. Lim,
2011,
IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems.
Sung Kyu Lim,
Jinwoo Choi,
M. Swaminathan,
2004,
Electrical Performance of Electronic Packaging - 2004.
Linda Milor,
Sung Kyu Lim,
Dae Hyun Kim,
2010,
2010 IEEE International Integrated Reliability Workshop Final Report.
Michael Niemier,
Sung Kyu Lim,
Jean Nguyen,
2003
.
Prabhas Chongstitvatana,
Sung Kyu Lim,
M. Ekpanyapong,
2012,
2012 9th International Conference on Electrical Engineering/Electronics, Computer, Telecommunications and Information Technology.
Sung Kyu Lim,
Saibal Mukhopadhyay,
Daehyun Kim,
2009,
SLIP '09.
Sung Kyu Lim,
Yarui Peng,
Kambiz Samadi,
2018,
IEEE Transactions on Nanotechnology.
Sung Kyu Lim,
Yarui Peng,
Sandeep Kumar Samal,
2015,
IEEE Transactions on Components, Packaging and Manufacturing Technology.
Hsien-Hsin S. Lee,
Xin Zhao,
Sung Kyu Lim,
2015,
IEEE Transactions on Computers.
Sung Kyu Lim,
Young-Joon Lee,
Michael B. Healy,
2009,
2009 IEEE International Interconnect Technology Conference.
Sung Kyu Lim,
Daehyun Kim,
S. Lim,
2015,
IEEE Design & Test.
Xin Zhao,
Sung Kyu Lim,
Chang Liu,
2011,
ISLPED.
Sung Kyu Lim,
Jacob Rajkumar Minz,
2003
.
Sung Kyu Lim,
Mohit Pathak,
2009,
IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems.
Yang Zhang,
Sung Kyu Lim,
Yarui Peng,
2013,
International Symposium on Low Power Electronics and Design (ISLPED).
Jae-Seok Yang,
Sung Kyu Lim,
David Z. Pan,
2010,
2010 IEEE/ACM International Conference on Computer-Aided Design (ICCAD).
Sung Kyu Lim,
Kambiz Samadi,
Sandeep Kumar Samal,
2014,
2014 IEEE/ACM International Conference on Computer-Aided Design (ICCAD).
Sung Kyu Lim,
Karthik Balakrishnan,
Vidit Nanda,
2005,
ASP-DAC.
Sung Kyu Lim,
David Z. Pan,
Moongon Jung,
2012,
DAC Design Automation Conference 2012.
Sung Kyu Lim,
Panth Shreepad,
2016
.
Sung Kyu Lim,
2013
.
Hsien-Hsin S. Lee,
Xin Zhao,
Sung Kyu Lim,
2011,
IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems.
Chang-Chih Chen,
Sung Kyu Lim,
Daehyun Kim,
2012,
Microelectron. Reliab..
Hsien-Hsin S. Lee,
Xin Zhao,
Sung Kyu Lim,
2010,
IEEE Custom Integrated Circuits Conference 2010.
Hsien-Hsin S. Lee,
Sung Kyu Lim,
Gabriel H. Loh,
2009,
2009 Asia and South Pacific Design Automation Conference.
Sung Kyu Lim,
Saurabh Sinha,
Brian Cline,
2015,
2015 IEEE/ACM International Symposium on Low Power Electronics and Design (ISLPED).
Sung Kyu Lim,
S. Lim,
2013
.
Sung Kyu Lim,
Young-Joon Lee,
S. Lim,
2009,
2009 59th Electronic Components and Technology Conference.
Sung Kyu Lim,
Madhavan Swaminathan,
Gauthaman Murali,
2019,
2019 56th ACM/IEEE Design Automation Conference (DAC).
Sung Kyu Lim,
Sandeep Kumar Samal,
Srinivasa Banna,
2015,
2015 IEEE SOI-3D-Subthreshold Microelectronics Technology Unified Conference (S3S).
Jason Cong,
Sung Kyu Lim,
J. Cong,
2004,
IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems.
David V. Anderson,
Sung Kyu Lim,
I. Faik Baskaya,
2009,
IEEE Transactions on Circuits and Systems II: Express Briefs.
Taewhan Kim,
Taesung Kim,
Sung Kyu Lim,
2013,
2013 IEEE SOI-3D-Subthreshold Microelectronics Technology Unified Conference (S3S).
Xi Liu,
Sung Kyu Lim,
David Z. Pan,
2011,
2011 IEEE/ACM International Conference on Computer-Aided Design (ICCAD).
Sung Kyu Lim,
Mohit Pathak,
Jacob Rajkumar Minz,
2003
.
Sung Kyu Lim,
Mongkol Ekpanyapong,
Michael B. Healy,
2006,
IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems.
Peng Li,
Yu Liu,
Sung Kyu Lim,
2018,
DAC.
Sung Kyu Lim,
Taigon Song,
S. Lim,
2015,
J. Inform. and Commun. Convergence Engineering.
Jiwoo Pak,
Sung Kyu Lim,
David Z. Pan,
2011,
2011 IEEE/ACM International Conference on Computer-Aided Design (ICCAD).
Sung Kyu Lim,
Shreepad Panth,
2016,
IEEE Transactions on Components, Packaging and Manufacturing Technology.
Sung Kyu Lim,
Saurabh Sinha,
Brian Cline,
2016,
2016 IEEE/ACM International Conference on Computer-Aided Design (ICCAD).
David V. Anderson,
Sung Kyu Lim,
I. Faik Baskaya,
2005,
International Conference on Field Programmable Logic and Applications, 2005..
Sungho Kang,
Sung Kyu Lim,
Minho Cheong,
2017,
IEEE Transactions on Very Large Scale Integration (VLSI) Systems.
Sung Kyu Lim,
Eric Wong,
S. Lim,
2006,
Proceedings of the Design Automation & Test in Europe Conference.
Sung Kyu Lim,
Saurabh Sinha,
Brian Cline,
2016,
2016 17th International Symposium on Quality Electronic Design (ISQED).
Sung Kyu Lim,
Mongkol Ekpanyapong,
2004,
ASP-DAC 2004: Asia and South Pacific Design Automation Conference 2004 (IEEE Cat. No.04EX753).
Large Scale Circuit Partitioning With Loose/Stable Net Removal And Signal Flow Based Hierarchical Cl
Jason Cong,
Sung Kyu Lim,
Toshiyuki Shibuya,
1997,
ICCAD 1997.
Jason Cong,
Sung Kyu Lim,
J. Cong,
2000,
IEEE/ACM International Conference on Computer Aided Design. ICCAD - 2000. IEEE/ACM Digest of Technical Papers (Cat. No.00CH37140).
Sung Kyu Lim,
Young Su Kim,
Jeong Gyu Park,
2010,
IEICE Trans. Electron..
Sung Kyu Lim,
Chang Liu,
S. Lim,
2012,
Thirteenth International Symposium on Quality Electronic Design (ISQED).
Hsien-Hsin S. Lee,
Xin Zhao,
Sung Kyu Lim,
2012,
2012 IEEE International Solid-State Circuits Conference.
Sung Kyu Lim,
Mohit Pathak,
S. Lim,
2011,
2011 IEEE 54th International Midwest Symposium on Circuits and Systems (MWSCAS).
Sung Kyu Lim,
Yang Li,
Sandeep Kumar Samal,
2015,
2015 IEEE SOI-3D-Subthreshold Microelectronics Technology Unified Conference (S3S).
Sung Kyu Lim,
Michael B. Healy,
S. Lim,
2011,
2011 12th International Symposium on Quality Electronic Design.
Sung Kyu Lim,
Young-Joon Lee,
2014,
2014 Design, Automation & Test in Europe Conference & Exhibition (DATE).
Sung Kyu Lim,
Alberto García Ortiz,
Da Eun Shim,
2019,
IEEE Micro.
Sung Kyu Lim,
Michael B. Healy,
S. Lim,
2012,
IEEE Transactions on Very Large Scale Integration (VLSI) Systems.
David V. Anderson,
Sung Kyu Lim,
Tyson S. Hall,
2005,
ISPD '05.
Sung Kyu Lim,
Daehyun Kim,
Linda S. Milor,
2010,
Microelectron. Reliab..
Xin Zhao,
Sung Kyu Lim,
Saibal Mukhopadhyay,
2011,
2011 IEEE 61st Electronic Components and Technology Conference (ECTC).
Hsien-Hsin S. Lee,
Sung Kyu Lim,
Gabriel H. Loh,
2004
.
Sung Kyu Lim,
Daehyun Kim,
2015,
IEEE Des. Test.
Sung Kyu Lim,
Da Eun Shim,
Kyungwook Chang,
2018,
ISLPED.
Sung Kyu Lim,
Muhannad S. Bakir,
Gang Huang,
2009,
2009 Design, Automation & Test in Europe Conference & Exhibition.
Sung Kyu Lim,
2013
.
Sung Kyu Lim,
Yun Seop Yu,
2016,
J. Inform. and Commun. Convergence Engineering.
Guoqing Chen,
Sung Kyu Lim,
Sandeep Kumar Samal,
2017,
ACM J. Emerg. Technol. Comput. Syst..
Sung Kyu Lim,
Asif I. Khan,
Chenming Hu,
2017,
2017 IEEE/ACM International Symposium on Low Power Electronics and Design (ISLPED).
Sung Kyu Lim,
J. Minz,
E. Wong,
2005,
IEEE 14th Topical Meeting on Electrical Performance of Electronic Packaging, 2005..
Sung Kyu Lim,
Moongon Jung,
Yarui Peng,
2015,
2015 IEEE International Interconnect Technology Conference and 2015 IEEE Materials for Advanced Metallization Conference (IITC/MAM).
Sung Kyu Lim,
Mongkol Ekpanyapong,
2004
.
Krishnendu Chakrabarty,
Sung Kyu Lim,
Shreepad Panth,
2012,
2012 IEEE International Test Conference.
Sung Kyu Lim,
Taigon Song,
2015,
J. Inform. and Commun. Convergence Engineering.
Sung Kyu Lim,
Byungin Moon,
Seung-Ho Ok,
2013,
International Symposium on Low Power Electronics and Design (ISLPED).
Sung Kyu Lim,
Yun Seop Yu,
Bum Ho Choi,
2019,
Micromachines.
Sung Kyu Lim,
2013
.
Sung Kyu Lim,
Taehyun Kim,
Jae Hong Park,
2013,
Defense, Security, and Sensing.
Sung Kyu Lim,
Michael T. Niemier,
Ramprasad Ravichandran,
2005,
ASP-DAC.
Sung Kyu Lim,
Chang Liu,
Saibal Mukhopadhyay,
2010,
2010 IEEE/ACM International Conference on Computer-Aided Design (ICCAD).
Sung Kyu Lim,
Christos Sotiriou,
Neela Lohith Penmetsa,
2015,
2015 21st IEEE International Symposium on Asynchronous Circuits and Systems.
Xin Zhao,
Sung Kyu Lim,
Yang Wan,
2013,
2013 IEEE/ACM International Conference on Computer-Aided Design (ICCAD).
David V. Anderson,
Sung Kyu Lim,
Christopher M. Twigg,
2007,
15th Annual IEEE Symposium on Field-Programmable Custom Computing Machines (FCCM 2007).
Sung Kyu Lim,
Krit Athikulwongse,
Mohit Pathak,
2012,
DAC Design Automation Conference 2012.
Sung Kyu Lim,
J. Minz,
E. Wong,
2006,
56th Electronic Components and Technology Conference 2006.
Sung Kyu Lim,
Jacob R. Minz,
2004,
ASP-DAC 2004: Asia and South Pacific Design Automation Conference 2004 (IEEE Cat. No.04EX753).
Massoud Pedram,
Sung Kyu Lim,
2008,
TODE.
Sung Kyu Lim,
2013
.
Sung Kyu Lim,
Robert Fox,
Deepak Nayak,
2016,
2016 IEEE International Electron Devices Meeting (IEDM).
Sung Kyu Lim,
Michael B. Healy,
S. Lim,
2010,
2010 Proceedings 60th Electronic Components and Technology Conference (ECTC).
Hsien-Hsin S. Lee,
Sung Kyu Lim,
Michael B. Healy,
2006
.
Sung Kyu Lim,
Daehyun Kim,
Rasit Onur Topaloglu,
2012,
17th Asia and South Pacific Design Automation Conference.
Sung Kyu Lim,
2008
.
Sung Kyu Lim,
Daehyun Kim,
2016,
IEEE Des. Test.
Sung Kyu Lim,
Jacob Rajkumar Minz,
Eric Wong,
2007,
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst..
Sung Kyu Lim,
J. Cong,
2000,
Proceedings 2000. Design Automation Conference. (IEEE Cat. No.00CH37106).
Sung Kyu Lim,
Yarui Peng,
Dusan Petranovic,
2017,
2017 IEEE 26th Conference on Electrical Performance of Electronic Packaging and Systems (EPEPS).
Sung Kyu Lim,
Karthik Balakrishnan,
Mongkol Ekpanyapong,
2003
.
Sung Kyu Lim,
Peter G. Sassone,
2003,
ICCAD.
Sung Kyu Lim,
Krit Athikulwongse,
Mongkol Ekpanyapong,
2014,
IEEE Transactions on Very Large Scale Integration (VLSI) Systems.
Sung Kyu Lim,
Daehyun Kim,
Linda S. Milor,
2011,
Microelectron. Reliab..
Jason Cong,
Sung Kyu Lim,
J. Cong,
2000,
Proceedings 2000. Design Automation Conference. (IEEE Cat. No.00CH37106).
Ye Tao,
Sung Kyu Lim,
2009,
2009 Design, Automation & Test in Europe Conference & Exhibition.
Sung Kyu Lim,
Yarui Peng,
Bon Woong Ku,
2015,
2015 52nd ACM/EDAC/IEEE Design Automation Conference (DAC).
Sung Kyu Lim,
Krit Athikulwongse,
Daehyun Kim,
2009,
2009 IEEE/ACM International Conference on Computer-Aided Design - Digest of Technical Papers.
Sung Kyu Lim,
J. Minz,
E. Wong,
2005,
Proceedings Electronic Components and Technology, 2005. ECTC '05..
Hsien-Hsin S. Lee,
Xin Zhao,
Sung Kyu Lim,
2009,
2009 IEEE/ACM International Conference on Computer-Aided Design - Digest of Technical Papers.
Paul Hasler,
David V. Anderson,
Sung Kyu Lim,
2007
.
Sung Kyu Lim,
Karthik Balakrishnan,
Mongkol Ekpanyapong,
2003
.
Sung Kyu Lim,
Mongkol Ekpanyapong,
Thaisiri Watewai,
2006,
Asia and South Pacific Conference on Design Automation, 2006..
Sung Kyu Lim,
Shreepad Panth,
2012,
2012 IEEE 30th VLSI Test Symposium (VTS).
Sung Kyu Lim,
Shreepad Panth,
Kambiz Samadi,
2017,
IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems.
Hao Yu,
Yang Shang,
Sung Kyu Lim,
2013,
IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems.
Sung Kyu Lim,
Saurabh Sinha,
Brian Cline,
2016,
ISLPED.
Sung Kyu Lim,
Shreepad Panth,
Kambiz Samadi,
2017,
IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems.
Sung Kyu Lim,
Mohit Pathak,
Madhavan Swaminathan,
2007,
2007 25th International Conference on Computer Design.
Sung Kyu Lim,
S. Lim,
2008
.
Sung Kyu Lim,
S. Easwar,
K. Balakrishnan,
2005,
Proceedings of the ASP-DAC 2005. Asia and South Pacific Design Automation Conference, 2005..
Sung Kyu Lim,
2013
.
Peng Li,
Yu Liu,
Sung Kyu Lim,
2018,
2018 IEEE/ACM International Conference on Computer-Aided Design (ICCAD).
Seungyoung Ahn,
Sung Kyu Lim,
Chang Liu,
2011,
2011 12th International Symposium on Quality Electronic Design.
Catherine D. Schuman,
Sung Kyu Lim,
Garrett S. Rose,
2017
.
Sung Kyu Lim,
2013
.
Sung Kyu Lim,
Eric Wong,
M. Pathak,
2006,
IEEE Transactions on Components and Packaging Technologies.
Saurabh Sinha,
Francky Catthoor,
Sung Kyu Lim,
2021,
IEEE Transactions on Very Large Scale Integration (VLSI) Systems.
Sung Kyu Lim,
Lingjun Zhu,
S. Lim,
2021,
ISPD.
Sung Kyu Lim,
Arijit Raychowdhury,
Brian Crafton,
2021,
2021 22nd International Symposium on Quality Electronic Design (ISQED).
Sung Kyu Lim,
Bahar Asgari,
Ramyad Hadidi,
2021,
2021 IEEE International Symposium on High-Performance Computer Architecture (HPCA).
Sung Kyu Lim,
Madhavan Swaminathan,
Tushar Krishna,
2021,
IEEE Transactions on Very Large Scale Integration (VLSI) Systems.
Sung Kyu Lim,
Yi-Chen Lu,
Sai Pentapati,
2021,
ISPD.
Sung Kyu Lim,
Yi-Chen Lu,
Sai Pentapati,
2021,
ISPD.
Sung Kyu Lim,
Bon Woong Ku,
Sai Surya Kiran Pentapati,
2021,
ISPD.
Saurabh Sinha,
Sung Kyu Lim,
Brian Cline,
2022,
IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems.
Michael Niemier,
Sung Kyu Lim,
Ramprasad Ravichandran,
2003
.
Sung Kyu Lim,
Arthur Nieuwoudt,
Taigon Song,
2015,
2015 IEEE 65th Electronic Components and Technology Conference (ECTC).
Sung Kyu Lim,
Mohit Pathak,
Gabriel H. Loh,
2010
.
Sung Kyu Lim,
Young-Joon Lee,
Rohan Goel,
2009
.
Sung Kyu Lim,
2010
.
Sung Kyu Lim,
Michael B. Healy,
S. Lim,
2009,
2009 59th Electronic Components and Technology Conference.
Sung Kyu Lim,
Andrei G. Fedorov,
Young-Joon Lee,
2010
.
Sung Kyu Lim,
2013
.
Xin Zhao,
Sung Kyu Lim,
Michael Scheuermann,
2013
.
Chang-Chih Chen,
Sung Kyu Lim,
L. Milor,
2012,
2012 IEEE International Reliability Physics Symposium (IRPS).
Linda Milor,
Sung Kyu Lim,
Krit Athikulwongse,
2011,
2011 International Reliability Physics Symposium.
Sung Kyu Lim,
Chang Liu,
2011
.
Rao Tummala,
Sung Kyu Lim,
Venky Sundaram,
2011,
2011 IEEE 61st Electronic Components and Technology Conference (ECTC).
A fine-grained co-simulation methodology for IR-drop noise in silicon interposer and TSV-based 3D IC
Sung Kyu Lim,
Taigon Song,
2011,
2011 IEEE 20th Conference on Electrical Performance of Electronic Packaging and Systems.
Sung Kyu Lim,
Brian Stephen Smith,
S. Lim,
2006
.
Sung Kyu Lim,
Moongon Jung,
Shreepad Panth,
2011,
2011 IEEE International Interconnect Technology Conference.
Sung Kyu Lim,
2010
.
Sung Kyu Lim,
Mongkol Ekpanyapong,
Mario Vittes,
2006
.
Sung Kyu Lim,
S. Lim,
Chang Liu,
2012,
2012 IEEE International Interconnect Technology Conference.
Sung Kyu Lim,
Andrei G. Fedorov,
Young-Joon Lee,
2009
.
Sung Kyu Lim,
Minki Cho,
S. Mukhopadhyay,
2011,
IEEE Transactions on Components, Packaging and Manufacturing Technology.
Sung Kyu Lim,
Mohit Pathak,
2007,
ICCAD 2007.