Christopher T. Weaver

发表

Todd M. Austin, Christopher T. Weaver, Kenneth C. Barr, 2001, 2001 IEEE International Symposium on Performance Analysis of Systems and Software. ISPASS..

Todd M. Austin, Christopher T. Weaver, Lisa Wu, 2001, Proceedings 28th Annual International Symposium on Computer Architecture.

Joel Emer, Shubhendu S. Mukherjee, Steven K. Reinhardt, 2003, Proceedings. 36th Annual IEEE/ACM International Symposium on Microarchitecture, 2003. MICRO-36..

Todd M. Austin, Christopher T. Weaver, Saugata Chatterjee, 2000, Proceedings 33rd Annual IEEE/ACM International Symposium on Microarchitecture. MICRO-33 2000.

Joel S. Emer, Shubhendu S. Mukherjee, Steven K. Reinhardt, 2004, IEEE Micro.

Todd M. Austin, Eric Larson, Christopher T. Weaver, 2002, WCAE '02.

Todd M. Austin, Christopher T. Weaver, Rajeev Krishna, 2001, CASES '01.

Joel S. Emer, Shubhendu S. Mukherjee, Steven K. Reinhardt, 2004, Proceedings. 31st Annual International Symposium on Computer Architecture, 2004..

Todd M. Austin, Christopher T. Weaver, T. Austin, 2001, 2001 International Conference on Dependable Systems and Networks.

Todd M. Austin, Karem A. Sakallah, Fadi A. Aloul, 2001, Proceedings of the 38th Design Automation Conference (IEEE Cat. No.01CH37232).

Hong Wang, Perry H. Wang, Jamison D. Collins, 2009, FPGA '09.

Fadi H. Gebara, Todd Austin, Christopher T. Weaver, 2002 .

Shubhendu S. Mukherjee, Christopher T. Weaver, S.S. Mukherjee, 2003, IEEE Micro.