David B. Thomas

发表

Wayne Luk, Qiwei Jin, David B. Thomas, 2009, 2009 International Conference on Field-Programmable Technology.

David B. Thomas, David B. Thomas, 2015, ACM Trans. Reconfigurable Technol. Syst..

Wayne Luk, David B. Thomas, Kuen Hung Tsoi, 2010, 2010 International Conference on Field-Programmable Technology.

David B. Thomas, David B. Thomas, 2013, 2013 IEEE 21st Annual International Symposium on Field-Programmable Custom Computing Machines.

Wayne Luk, Qiwei Jin, David B. Thomas, 2012, 22nd International Conference on Field Programmable Logic and Applications (FPL).

Wayne Luk, David B. Thomas, Jacob A. Bower, 2007, 2007 IEEE International Conf. on Application-specific Systems, Architectures and Processors (ASAP).

Wayne Luk, David B. Thomas, Stephen Weston, 2015, 2015 25th International Conference on Field Programmable Logic and Applications (FPL).

Wayne Luk, David B. Thomas, David B. Thomas, 2002, SPIE ITCom.

Wayne Luk, David B. Thomas, 2008, 2008 16th International Symposium on Field-Programmable Custom Computing Machines.

Wayne Luk, David B. Thomas, Michael Stumpf, 2007, ARC.

David B. Thomas, Matthew Naylor, Andrew W. Brown, 2019, PARCO.

David B. Thomas, 2019, 2019 IEEE 26th Symposium on Computer Arithmetic (ARITH).

Wayne Luk, David B. Thomas, J. G. F. Coutinho, 2009, 2009 Conference Record of the Forty-Third Asilomar Conference on Signals, Systems and Computers.

Wayne Luk, David B. Thomas, David B. Thomas, 2007, 2007 International Conference on Field-Programmable Technology.

David B. Thomas, Peter R. Pietzuch, Peter Ogden, 2013, Proc. VLDB Endow..

Wayne Luk, David B. Thomas, Liucheng Guo, 2013, CARN.

Wayne Luk, David B. Thomas, 2013, IEEE Transactions on Very Large Scale Integration (VLSI) Systems.

David B. Thomas, Shane T. Fleming, 2016, 2016 53nd ACM/EDAC/IEEE Design Automation Conference (DAC).

Wayne Luk, David B. Thomas, Oskar Mencer, 2006, 2006 IEEE International Conference on Reconfigurable Computing and FPGA's (ReConFig 2006).

Wayne Luk, Qiwei Jin, David B. Thomas, 2008, ARC.

Wayne Luk, David B. Thomas, Ce Guo, 2015, 2015 IEEE 23rd Annual International Symposium on Field-Programmable Custom Computing Machines.

Hideharu Amano, David B. Thomas, Takaaki Miyajima, 2015, J. Inf. Process..

Wayne Luk, David B. Thomas, Ce Guo, 2014, 2014 24th International Conference on Field Programmable Logic and Applications (FPL).

David B. Thomas, Zeping Xue, 2016, 2016 IEEE 24th Annual International Symposium on Field-Programmable Custom Computing Machines (FCCM).

Wayne Luk, David B. Thomas, David B. Thomas, 2010, 2010 International Conference on Field Programmable Logic and Applications.

Simon W. Moore, David B. Thomas, Matthew Naylor, 2019, 2019 29th International Conference on Field Programmable Logic and Applications (FPL).

Wayne Luk, David B. Thomas, 2005, Proceedings. 2005 IEEE International Conference on Field-Programmable Technology, 2005..

Alessandro Cilardo, David B. Thomas, Luca Gallo, 2014, 2014 24th International Conference on Field Programmable Logic and Applications (FPL).

Wayne Luk, Qiwei Jin, David B. Thomas, 2012, ARC.

David B. Thomas, Dan R. Ghica, George A. Constantinides, 2014, FCCM 2014.

Wayne Luk, David B. Thomas, Anson H.T. Tse, 2009, 2009 International Conference on Field-Programmable Technology.

David B. Thomas, Philip Heng Wai Leong, Gianluca Durelli, 2018, ARC.

David B. Thomas, Dan R. Ghica, George A. Constantinides, 2014, 2014 IEEE 22nd Annual International Symposium on Field-Programmable Custom Computing Machines.

Hideharu Amano, David B. Thomas, Takaaki Miyajima, 2014, ArXiv.

Wayne Luk, David B. Thomas, Marisa López-Vallejo, 2008, ARC.

David B. Thomas, 2014, 2014 IEEE 22nd Annual International Symposium on Field-Programmable Custom Computing Machines.

Wayne Luk, David B. Thomas, Kuen Hung Tsoi, 2010, 2010 International Conference on Field Programmable Logic and Applications.

Florent de Dinechin, David B. Thomas, Luc Forget, 2019, HEART 2019.

Wayne Luk, Qiwei Jin, David B. Thomas, 2009, TRETS.

Wayne Luk, David B. Thomas, David B. Thomas, 2007, J. VLSI Signal Process..

Wayne Luk, David B. Thomas, Gordon Inggs, 2014, 2014 International Conference on Field-Programmable Technology (FPT).

Wayne Luk, David B. Thomas, Anson H. T. Tse, 2012, IEEE Transactions on Very Large Scale Integration (VLSI) Systems.

Simon Winberg, David B. Thomas, Gordon Inggs, 2012, 22nd International Conference on Field Programmable Logic and Applications (FPL).

Wayne Luk, David B. Thomas, Gordon Inggs, 2017, IEEE Transactions on Parallel and Distributed Systems.

David B. Thomas, Shane T. Fleming, David B. Thomas, 2017, 2017 IEEE 25th Annual International Symposium on Field-Programmable Custom Computing Machines (FCCM).

Wayne Luk, David B. Thomas, Philip Heng Wai Leong, 2007, CSUR.

David B. Thomas, Zeping Xue, 2015, 2015 25th International Conference on Field Programmable Logic and Applications (FPL).

David B. Thomas, 2010, 2010 IEEE Workshop on High Performance Computational Finance.

David B. Thomas, Peter R. Pietzuch, Peter Ogden, 2016, SIGMOD Conference.

David B. Thomas, Shane T. Fleming, 2014, 2014 24th International Conference on Field Programmable Logic and Applications (FPL).

Wayne Luk, David B. Thomas, 2008, FPGA '08.

Wayne Luk, David B. Thomas, Haohuan Fu, 2016, CARN.

Alexandre Yakovlev, David B. Thomas, Simon W. Moore, 2017, 2017 46th International Conference on Parallel Processing Workshops (ICPPW).

Wayne Luk, David B. Thomas, Brahim Betkaoui, 2010, 2010 International Conference on Field-Programmable Technology.

Wayne Luk, Qiwei Jin, David B. Thomas, 2009, 2009 International Conference on Field Programmable Logic and Applications.

Wayne Luk, David B. Thomas, 2008, 2008 International Conference on Field-Programmable Technology.

Wayne Luk, David B. Thomas, Natasa Przulj, 2011, 2011 International Conference on Field-Programmable Technology.

David B. Thomas, 2016, 2016 IEEE 27th International Conference on Application-specific Systems, Architectures and Processors (ASAP).

Wayne Luk, Qiwei Jin, David B. Thomas, 2011, 2011 21st International Conference on Field Programmable Logic and Applications.

Wayne Luk, David B. Thomas, Gareth W. Morris, 2009, 2009 17th IEEE Symposium on High Performance Interconnects.

Wayne Luk, Qiwei Jin, David B. Thomas, 2011, 2011 IEEE 19th Annual International Symposium on Field-Programmable Custom Computing Machines.

David B. Thomas, Jeff Reeve, Matthew Naylor, 2018, PARCO.

Wayne Luk, David B. Thomas, 2007, 15th Annual IEEE Symposium on Field-Programmable Custom Computing Machines (FCCM 2007).

Wayne Luk, David B. Thomas, Gordon Inggs, 2013, 2013 42nd International Conference on Parallel Processing.

Wayne Luk, David B. Thomas, Jacob A. Bower, 2006, 2006 IEEE International Conference on Field Programmable Technology.

Wayne Luk, David B. Thomas, 2008, 2008 International Conference on Field Programmable Logic and Applications.

Hideharu Amano, David B. Thomas, Takaaki Miyajima, 2015, IPSJ Trans. Syst. LSI Des. Methodol..

David B. Thomas, Dan R. Ghica, George A. Constantinides, 2015, 2015 Design, Automation & Test in Europe Conference & Exhibition (DATE).

Wayne Luk, Qiwei Jin, David B. Thomas, 2012, FPGA '12.

Wayne Luk, David B. Thomas, 2013, IEEE Transactions on Very Large Scale Integration (VLSI) Systems.

Wayne Luk, David B. Thomas, 2004 .

David B. Thomas, Aryan Tavakkoli, 2018, IEEE Transactions on Very Large Scale Integration (VLSI) Systems.

David B. Thomas, Dan R. Ghica, George A. Constantinides, 2015, FPGA.

Wayne Luk, David B. Thomas, Kuen Hung Tsoi, 2010, CARN.

Wayne Luk, David B. Thomas, 2009, 2009 International Conference on Field-Programmable Technology.

David B. Thomas, 2019, 2019 IEEE 27th Annual International Symposium on Field-Programmable Custom Computing Machines (FCCM).

Wayne Luk, David B. Thomas, Henry Styles, 2004, Proceedings. 2004 IEEE International Conference on Field- Programmable Technology (IEEE Cat. No.04EX921).

Yu Wang, Wayne Luk, David B. Thomas, 2012, 22nd International Conference on Field Programmable Logic and Applications (FPL).

Wayne Luk, David B. Thomas, Zhongliu Xie, 2015, Int. J. Bio Inspired Comput..

David B. Thomas, Felix Winterstein, Shane T. Fleming, 2016 .

David B. Thomas, Dan R. Ghica, George A. Constantinides, 2015, 2015 25th International Conference on Field Programmable Logic and Applications (FPL).

Hideharu Amano, David B. Thomas, 2013, 2013 23rd International Conference on Field programmable Logic and Applications.

Wayne Luk, David B. Thomas, David B. Thomas, 2008, TRETS.

Wayne Luk, David B. Thomas, 2010, ASAP 2010 - 21st IEEE International Conference on Application-specific Systems, Architectures and Processors.

Wayne Luk, David B. Thomas, 2008, 2008 International Conference on Application-Specific Systems, Architectures and Processors.

Wayne Luk, David B. Thomas, Anson H. T. Tse, 2009, 2009 17th IEEE Symposium on Field Programmable Custom Computing Machines.

David B. Thomas, 2015, 2015 IEEE 22nd Symposium on Computer Arithmetic.

Wayne Luk, David B. Thomas, 2004, FPL.

Hideharu Amano, David B. Thomas, Takaaki Miyajima, 2012, 2012 Third International Conference on Networking and Computing.

Wayne Luk, David B. Thomas, David B. Thomas, 2006, 2006 14th Annual IEEE Symposium on Field-Programmable Custom Computing Machines.

Wayne Luk, David B. Thomas, David B. Thomas, 2009, 2009 17th IEEE Symposium on Field Programmable Custom Computing Machines.

Yu Wang, Wayne Luk, David B. Thomas, 2012, 2012 IEEE 23rd International Conference on Application-Specific Systems, Architectures and Processors.

David B. Thomas, Aryan Tavakkoli, 2014, 2014 International Conference on Field-Programmable Technology (FPT).

David B. Thomas, Shane T. Fleming, 2013, 2013 23rd International Conference on Field programmable Logic and Applications.

David B. Thomas, Peter Y. K. Cheung, Jiang Su, 2016, 2016 IEEE 24th Annual International Symposium on Field-Programmable Custom Computing Machines (FCCM).

Wayne Luk, David B. Thomas, David B. Thomas, 2006, 2006 International Conference on Field Programmable Logic and Applications.

Qiang Li, David B. Thomas, Peter Y. K. Cheung, 2019, 2019 29th International Conference on Field Programmable Logic and Applications (FPL).

Wayne Luk, David B. Thomas, Liucheng Guo, 2014, EvoApplications.

David B. Thomas, Peter Y. K. Cheung, Jianxiong Liu, 2017, CARN.

Wayne Luk, David B. Thomas, 2005, 2005 International Conference on Reconfigurable Computing and FPGAs (ReConFig'05).