Rajesh K. Gupta

发表

Sandeep K. Shukla, Masahiro Fujita, Rajesh K. Gupta, 2003, Proceedings 2003. Design Automation Conference (IEEE Cat. No.03CH37451).

Luciano Lavagno, Sujit Dey, Rajesh K. Gupta, 2002, Proceedings of ASP-DAC/VLSI Design 2002. 7th Asia and South Pacific Design Automation Conference and 15h International Conference on VLSI Design.

Yervant Zorian, Rajesh K. Gupta, 1997, IEEE Des. Test Comput..

Rajesh K. Gupta, Weiyu Tang, Alexander V. Veidenbaum, 2002, ISHPC.

Ingolf Krüger, Rajesh K. Gupta, Massimiliano Menarini, 2005, FMGALS@MEMOCODE.

Rajesh K. Gupta, Anmol Mathur, Ali Dasdan, 1997, Proceedings European Design and Test Conference. ED & TC 97.

Guang R. Gao, Wolfgang Rosenstiel, Masahiro Fujita, 2001, International Symposium on Systems Synthesis.

Rajesh K. Gupta, Sicun Gao, Vahideh Akhlaghi, 2018, 2018 55th ACM/ESDA/IEEE Design Automation Conference (DAC).

Luca Benini, Rajesh K. Gupta, Abbas Rahimi, 2014, IEEE Transactions on Computers.

Luca Benini, Rajesh K. Gupta, Amirali Ghofrani, 2014, 2014 51st ACM/EDAC/IEEE Design Automation Conference (DAC).

Rajesh K. Gupta, Amit Chowdhary, Sudhakar Kale, 1999, IEEE Trans. Comput. Aided Des. Integr. Circuits Syst..

Sandeep K. Shukla, Rajesh K. Gupta, Frederic Doucet, 2002, Proceedings 2002 Design, Automation and Test in Europe Conference and Exhibition.

Rajesh K. Gupta, Alexander V. Veidenbaum, Alexandru Nicolau, 2000, ISHPC.

Patrick Schaumont, Ingrid Verbauwhede, Rajesh K. Gupta, 2001, DAC '01.

Yu Jiang, Rajesh K. Gupta, Christian Enz, 2017, Design, Automation & Test in Europe Conference & Exhibition (DATE), 2017.

Rajesh K. Gupta, Ali Dasdan, Dinesh Ramanathan, 1998, TODE.

Massimo Franceschetti, Rajesh K. Gupta, Hamed Omidvar, 2019, ArXiv.

Frederic Sala, Lara Dolecek, Sorin Lerner, 2015, it Inf. Technol..

Luca Benini, Kwang-Ting Cheng, Rajesh K. Gupta, 2015, 2015 Design, Automation & Test in Europe Conference & Exhibition (DATE).

Rajesh K. Gupta, Dean M. Tullsen, Manish Gupta, 2017, 2017 54th ACM/EDAC/IEEE Design Automation Conference (DAC).

Mani B. Srivastava, Vijay Raghunathan, Rajesh K. Gupta, 2005, IEEE Transactions on Very Large Scale Integration (VLSI) Systems.

Rajesh K. Gupta, 2018, IEEE Trans. Comput. Aided Des. Integr. Circuits Syst..

Rajesh K. Gupta, Weiyu Tang, Alexandru Nicolau, 2002, Proceedings 2002 Design, Automation and Test in Europe Conference and Exhibition.

Luca Benini, Rajesh K. Gupta, Abbas Rahimi, 2013, 2013 50th ACM/EDAC/IEEE Design Automation Conference (DAC).

Puneet Gupta, Rajesh K. Gupta, 2011, 2011 Asian Test Symposium.

Rajesh K. Gupta, Alexander V. Veidenbaum, Alexandru Nicolau, 2005, IEEE Transactions on Computers.

Luca Benini, Rajesh K. Gupta, Abbas Rahimi, 2014, 2014 Design, Automation & Test in Europe Conference & Exhibition (DATE).

Rajesh K. Gupta, Balakrishnan Narayanaswamy, Bharathan Balaji, 2014, BuildSys@SenSys.

Giovanni De Micheli, Rajesh K. Gupta, 1990, 1990 IEEE International Conference on Computer-Aided Design. Digest of Technical Papers.

Sandeep K. Shukla, Rajesh K. Gupta, Rajesh K. Gupta, 2001, Sixth IEEE International High-Level Design Validation and Test Workshop.

Rajesh K. Gupta, Amit Chowdhary, Sudhakar Kale, 1998, ICCAD.

Nikil D. Dutt, Rajesh K. Gupta, Alexandru Nicolau, 2004, IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems.

Thomas Weng, Rajesh K. Gupta, Bharathan Balaji, 2011, Proceedings of the 10th ACM/IEEE International Conference on Information Processing in Sensor Networks.

Rajesh K. Gupta, Ravindra Jejurikar, 2004, LCTES '04.

Nikil D. Dutt, Rajesh K. Gupta, Alexandru Nicolau, 2004, TODE.

Luca Benini, Rajesh K. Gupta, Abbas Rahimi, 2015, TACO.

Jian Li, Rajesh K. Gupta, 1998, Proceedings Design, Automation and Test in Europe.

Zhuowen Tu, Rajesh K. Gupta, Yunfan Yang, 2018, 2020 IEEE Winter Conference on Applications of Computer Vision (WACV).

Rajesh K. Gupta, Dezhi Hong, Yuvraj Agarwal, 2019, BuildSys@SenSys.

Giovanni De Micheli, Rajesh K. Gupta, G. Micheli, 1993, IEEE Design & Test of Computers.

Sandeep K. Shukla, Rajesh K. Gupta, Frederic Doucet, 2003, ASP-DAC '03.

Rajesh K. Gupta, 2005, IEEE Des. Test Comput..

Rajesh K. Gupta, Atieh Lotfi, 2017, 2017 IEEE International Conference on Computer Design (ICCD).

Taewhan Kim, Rajesh K. Gupta, C. L. Liu, 2002, J. VLSI Signal Process..

Rajesh K. Gupta, Daniel Gajski, Yatin Trivedi, 1996, Proceedings International Conference on Computer Design. VLSI in Computers and Processors.

Rajesh K. Gupta, Ali Dasdan, Rajesh K. Gupta, 1998, IEEE Trans. Comput. Aided Des. Integr. Circuits Syst..

Thomas Weng, Rajesh K. Gupta, Yuvraj Agarwal, 2011, 2011 Design, Automation & Test in Europe.

Giovanni De Micheli, Rajesh K. Gupta, G. Micheli, 1997, J. Syst. Archit..

Rajesh K. Gupta, Xun Jiao, Mulong Luo, 2017, 2017 IEEE/ACM International Conference on Computer-Aided Design (ICCAD).

Luca Benini, Daniele Cesarini, Rajesh K. Gupta, 2015, 2015 52nd ACM/EDAC/IEEE Design Automation Conference (DAC).

Rajesh K. Gupta, Dohyung Kim, Igor Kozintsev, 2006, Proceedings of the 4th International Conference on Hardware/Software Codesign and System Synthesis (CODES+ISSS '06).

Rajesh K. Gupta, 2005, IEEE Des. Test Comput..

Nikil D. Dutt, Sunwoo Kim, Rajesh K. Gupta, 2001, DAC '01.

Rajesh K. Gupta, Ryo Sugihara, Rajesh K. Gupta, 2009, IEEE INFOCOM 2009.

Sandeep K. Shukla, Rajesh K. Gupta, Nicolae Savoiu, 2002, 15th International Symposium on System Synthesis, 2002..

Arindam Ghosh, Rajesh K. Gupta, 2006, IEEE Transactions on Circuits and Systems I: Regular Papers.

Luca Benini, Rajesh K. Gupta, Abbas Rahimi, 2016, Proceedings of the IEEE.

Giovanni De Micheli, Rajesh K. Gupta, G. Micheli, 1996, Des. Autom. Embed. Syst..

Nikil D. Dutt, Rajesh K. Gupta, Mohammad Abdullah Al Faruque, 2015, 2015 52nd ACM/EDAC/IEEE Design Automation Conference (DAC).

Sandeep K. Shukla, Rajesh K. Gupta, Marta Z. Kwiatkowska, 2002, Seventh IEEE International High-Level Design Validation and Test Workshop, 2002..

Rajesh K. Gupta, Dinesh Ramanathan, 2000, DATE '00.

Rajesh K. Gupta, Cristiano Pereira, Ravindra Jejurikar, 2004, Proceedings. 41st Design Automation Conference, 2004..

Nikil D. Dutt, Nalini Venkatasubramanian, Rajesh K. Gupta, 2004, Proceedings Design, Automation and Test in Europe Conference and Exhibition.

Rajesh K. Gupta, 1997, Proceedings of International Conference on Microelectronic Systems Education.

Sandy Irani, Sandeep K. Shukla, Rajesh K. Gupta, 2002, Proceedings 2002 Design, Automation and Test in Europe Conference and Exhibition.

Rajesh K. Gupta, Steven Swanson, Ranjit Jhala, 2011, ASPLOS XVI.

Nikil D. Dutt, Majid Namaki-Shoushtari, Puneet Gupta, 2013, 2013 International Conference on Hardware/Software Codesign and System Synthesis (CODES+ISSS).

Rajesh K. Gupta, Hadi Esmaeilzadeh, Abbas Rahimi, 2016, 2016 Design, Automation & Test in Europe Conference & Exhibition (DATE).

Thomas Weng, Rajesh K. Gupta, Bharathan Balaji, 2011, BuildSys '11.

Nikil D. Dutt, Rajesh K. Gupta, Alexandru Nicolau, 2003, 16th International Conference on VLSI Design, 2003. Proceedings..

Rajesh K. Gupta, Bharathan Balaji, Yuvraj Agarwal, 2012, HotPower.

Amin Vahdat, Rajesh K. Gupta, Chalermek Intanagonwiwat, 2006, ALGOSENSORS.

Jian Li, Rajesh K. Gupta, Rajesh K. Gupta, 1997, DAC.

Sorin Lerner, Rajesh K. Gupta, Sudipta Kundu, 2010, IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems.

Sandeep K. Shukla, Hiren D. Patel, Rajesh K. Gupta, 2005, Embedded Systems Handbook.

Rajesh K. Gupta, Ryo Sugihara, Rajesh K. Gupta, 2010, IEEE Transactions on Mobile Computing.

Luca Benini, Rajesh K. Gupta, Abbas Rahimi, 2013, IEEE Transactions on Circuits and Systems II: Express Briefs.

Yu Jiang, Rajesh K. Gupta, Abbas Rahimi, 2016, 2016 IEEE 34th International Conference on Computer Design (ICCD).

Rajesh K. Gupta, Sumit Gupta, 1999, The VLSI Handbook.

Rajesh K. Gupta, 1997, Des. Autom. Embed. Syst..

Giovanni De Micheli, Rajesh K. Gupta, Claudionor José Nunes Coelho, 1994, Computer.

Nikil D. Dutt, Rajesh K. Gupta, Alexandru Nicolau, 2003, 2003 Design, Automation and Test in Europe Conference and Exhibition.

Rajesh K. Gupta, Amit Chowdhary, 2002, IEEE Des. Test Comput..

Rajesh K. Gupta, Brad Calder, Cristiano Pereira, 2005, 2005 Third IEEE/ACM/IFIP International Conference on Hardware/Software Codesign and System Synthesis (CODES+ISSS'05).

Rajesh K. Gupta, Hadi Esmaeilzadeh, Kambiz Samadi, 2018, 2018 ACM/IEEE 45th Annual International Symposium on Computer Architecture (ISCA).

Rajesh K. Gupta, Abbas Rahimi, Vahideh Akhlaghi, 2016, 2016 Design, Automation & Test in Europe Conference & Exhibition (DATE).

Rajesh K. Gupta, Zhang Yang, Rajesh K. Gupta, 1998, Proceedings Eleventh International Conference on VLSI Design.

Stan Y. Liao, Rajesh K. Gupta, Abhijit Ghosh, 2000, ISSS '00.

Nikil D. Dutt, Rajesh K. Gupta, Alexandru Nicolau, 2003, Proceedings 21st International Conference on Computer Design.

David E. Culler, Rajesh K. Gupta, Gabe Fierro, 2019, BuildSys@SenSys.

Luca Benini, Rajesh K. Gupta, Abbas Rahimi, 2013, 2013 Design, Automation & Test in Europe Conference & Exhibition (DATE).

Rajesh K. Gupta, Ravindra Jejurikar, 2004, Proceedings of the 2004 International Symposium on Low Power Electronics and Design (IEEE Cat. No.04TH8758).

Rajesh K. Gupta, 2005, IEEE Des. Test Comput..

Rajesh K. Gupta, Ryo Sugihara, Rajesh K. Gupta, 2008, DCOSS.

Rajesh K. Gupta, Alexander V. Veidenbaum, Alexandru Nicolau, 2000, Intelligent Memory Systems.

Rajesh K. Gupta, Gopalakrishnan Vijayan, Vinod Narayananan, 1995, Proceedings of ICCD '95 International Conference on Computer Design. VLSI in Computers and Processors.

Ranga Vemuri, Rajesh K. Gupta, 2001, IEEE Trans. Very Large Scale Integr. Syst..

Rajesh K. Gupta, Leon Stok, Gary D. Hachtel, 2004 .

Rajesh K. Gupta, C. L. Liu, Ki-Seok Chung, 1996, Proceedings of International Conference on Computer Aided Design.

Luca Benini, Sandeep K. Shukla, Rajesh K. Gupta, 2005, 18th International Conference on VLSI Design held jointly with 4th International Conference on Embedded Systems Design.

Rajesh K. Gupta, Francesco Fraternali, Yuvraj Agarwal, 2020, ACM Trans. Sens. Networks.

Giovanni De Micheli, Rajesh K. Gupta, G. Micheli, 1994, Third International Workshop on Hardware/Software Codesign.

Rajesh K. Gupta, Ravindra Jejurikar, R. Jejurikar, 2004, Proceedings. 16th Euromicro Conference on Real-Time Systems, 2004. ECRTS 2004..

Robert K. Brayton, Enrico Macii, Rajesh K. Gupta, 2007, IEEE Design & Test of Computers.

Rajesh K. Gupta, Ravindra Jejurikar, R. Jejurikar, 2002, IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems.

Rajesh K. Gupta, Weiyu Tang, Alexandru Nicolau, 2001, Proceedings 2001 IEEE International Conference on Computer Design: VLSI in Computers and Processors. ICCD 2001.

Rajesh K. Gupta, Anmol Mathur, Ali Dasdan, 1998, TODE.

Rajesh K. Gupta, Dean M. Tullsen, David Roberts, 2018, 2018 IEEE International Symposium on High Performance Computer Architecture (HPCA).

Rajesh K. Gupta, Ravindra Jejurikar, 2005, Proceedings. 42nd Design Automation Conference, 2005..

Arun Jagatheesan, Rajesh K. Gupta, Steven Swanson, 2010, 2010 ACM/IEEE International Conference for High Performance Computing, Networking, Storage and Analysis.

Sandeep K. Shukla, Rajesh K. Gupta, Nicolae Savoiu, 2005, Proceedings. Second ACM and IEEE International Conference on Formal Methods and Models for Co-Design, 2005. MEMOCODE '05..

Stan Y. Liao, Rajesh K. Gupta, Rajesh K. Gupta, 1997, IEEE Des. Test Comput..

Mani B. Srivastava, Rajesh K. Gupta, Zhou Fang, 2019, ICDCN.

Rajesh K. Gupta, Abbas Rahimi, Balakrishnan Narayanaswamy, 2015, 2015 IEEE 13th International New Circuits and Systems Conference (NEWCAS).

Mani B. Srivastava, Ole J. Mengshoel, Rajesh K. Gupta, 2018, CLOUD.

Sandeep K. Shukla, Rajesh K. Gupta, Nicolae Savoiu, 2002, IWLS.

Rajesh K. Gupta, Zhong-Yi Jin, 2009, 2009 International Conference on Information Processing in Sensor Networks.

Luca Benini, Rajesh K. Gupta, Abbas Rahimi, 2012, 2012 Design, Automation & Test in Europe Conference & Exhibition (DATE).

Rajesh K. Gupta, Yu Jiang, Xun Jiao, 2018, 2018 Design, Automation & Test in Europe Conference & Exhibition (DATE).

Yervant Zorian, Rajesh K. Gupta, Rajesh K. Gupta, 1997, IEEE Des. Test Comput..

Giovanni De Micheli, Rajesh K. Gupta, G. Micheli, 1993, IEEE Design & Test of Computers.

Alec Wolman, Paramvir Bahl, Ranveer Chandra, 2007, MobiSys '07.

Mani B. Srivastava, Vijay Raghunathan, Rajesh K. Gupta, 2003, Proceedings 2003. Design Automation Conference (IEEE Cat. No.03CH37451).

Sandeep K. Shukla, Douglas C. Schmidt, Twan Basten, 2002, Seventh IEEE International High-Level Design Validation and Test Workshop, 2002..

Sandy Irani, Sandeep K. Shukla, Gaurav Singh, 2005, IEEE Transactions on Very Large Scale Integration (VLSI) Systems.

Rajesh K. Gupta, Ali Dasdan, Dinesh Ramanathan, 1999, Proceedings of the Seventh International Workshop on Hardware/Software Codesign (CODES'99) (IEEE Cat. No.99TH8450).

Rajesh K. Gupta, Ali Dasdan, Dinesh Ramanathan, 1998, Proceedings 1998 Design and Automation Conference. 35th DAC. (Cat. No.98CH36175).

Rajesh K. Gupta, Adrian M. Caulfield, Joel Coburn, 2010, 2010 43rd Annual IEEE/ACM International Symposium on Microarchitecture.

Luca Benini, Rajesh K. Gupta, Abbas Rahimi, 2013, 2013 International Conference on Hardware/Software Codesign and System Synthesis (CODES+ISSS).

Nikil D. Dutt, Rajesh K. Gupta, Alexandru Nicolau, 2006, IEEE Transactions on Very Large Scale Integration (VLSI) Systems.

Rajesh K. Gupta, Raymond Roth, Dinesh Ramanathan, 2000, Proceedings 2000 International Conference on Computer Design.

Rajesh K. Gupta, Mayank Kumar, 2017, IEEE Transactions on Industrial Electronics.

Sandeep K. Shukla, Rajesh K. Gupta, Nicolae Savoiu, 2002, Proceedings 2002 Design, Automation and Test in Europe Conference and Exhibition.

Nikil D. Dutt, Rajesh K. Gupta, Alexandru Nicolau, 2001, International Symposium on System Synthesis (IEEE Cat. No.01EX526).

Giovanni De Micheli, Rajesh K. Gupta, Claudionor José Nunes Coelho, 1992, [1992] Proceedings 29th ACM/IEEE Design Automation Conference.

Rajesh K. Gupta, Prashant Arora, Rajesh K. Gupta, 2000, CASES '00.

Sandy Irani, Rajesh K. Gupta, Dinesh Ramanathan, 2000, IEEE/ACM International Conference on Computer Aided Design. ICCAD - 2000. IEEE/ACM Digest of Technical Papers (Cat. No.00CH37140).

Yu Jiang, Rajesh K. Gupta, Abbas Rahimi, 2017, Design, Automation & Test in Europe Conference & Exhibition (DATE), 2017.

Stephen A. Edwards, Sandeep K. Shukla, Rajesh K. Gupta, 2003, 16th International Conference on VLSI Design, 2003. Proceedings..

Thomas Weng, Rajesh K. Gupta, Yuvraj Agarwal, 2009, BuildSys '09.

Sandeep K. Shukla, Rajesh K. Gupta, Frederic Doucet, 2003, IEEE Trans. Comput. Aided Des. Integr. Circuits Syst..

Rajesh K. Gupta, Dean M. Tullsen, David Roberts, 2016, MEMSYS.

Luca Benini, Rajesh K. Gupta, Amirali Ghofrani, 2016, IEEE Journal on Emerging and Selected Topics in Circuits and Systems.

Jian Li, Rajesh K. Gupta, Rajesh K. Gupta, 2000, IEEE Trans. Very Large Scale Integr. Syst..

Rajesh K. Gupta, Omid Assare, 2019, 2019 56th ACM/IEEE Design Automation Conference (DAC).

Mani B. Srivastava, Rajesh K. Gupta, Zhou Fang, 2017, 2017 IEEE 10th International Conference on Cloud Computing (CLOUD).

Zhiru Zhang, Mani B. Srivastava, Zhuowen Tu, 2017, 2017 IEEE Conference on Computer Vision and Pattern Recognition Workshops (CVPRW).

Rajesh K. Gupta, Ravindra Jejurikar, 2005, 17th Euromicro Conference on Real-Time Systems (ECRTS'05).

Rajesh K. Gupta, Omid Assare, 2016, 2016 IEEE 34th International Conference on Computer Design (ICCD).

Rajesh K. Gupta, Dinesh Ramanathan, Ravindra Jejurikar, 2000, ASP-DAC '00.

Sandeep K. Shukla, Ingolf Krüger, Douglas C. Schmidt, 2003, Proceedings International Parallel and Distributed Processing Symposium.

Lara Dolecek, Puneet Gupta, Nikil D. Dutt, 2013, IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems.

Rajesh K. Gupta, Ryo Sugihara, Rajesh K. Gupta, 2010, TOSN.

Rajesh K. Gupta, Xun Jiao, Abbas Rahimi, 2018, IEEE Transactions on Computers.

Luca Benini, Rajesh K. Gupta, Paolo Burgio, 2013, 2013 Design, Automation & Test in Europe Conference & Exhibition (DATE).

Rajesh K. Gupta, 2005, IEEE Des. Test Comput..

Sandeep K. Shukla, Rajesh K. Gupta, Frederic Doucet, 2002, HiPC.

Rajesh K. Gupta, Ryo Sugihara, Rajesh K. Gupta, 2008, TOSN.

Rajesh K. Gupta, Ryo Sugihara, 2011, 2011 Proceedings IEEE INFOCOM.

Rajesh K. Gupta, Steven Swanson, Adrian M. Caulfield, 2011, HotStorage.

Mani B. Srivastava, Ole J. Mengshoel, Rajesh K. Gupta, 2017, SoCC.

Andrew A. Chien, Martin Schulz, Rajesh K. Gupta, 1997, Proceedings International Conference on Computer Design VLSI in Computers and Processors.

Sandeep K. Shukla, Patrick Schaumont, Rajesh K. Gupta, 2001, International Symposium on System Synthesis (IEEE Cat. No.01EX526).

Sandeep K. Shukla, Rajesh K. Gupta, Frederic Doucet, 2003, 2003 Design, Automation and Test in Europe Conference and Exhibition.

Francky Catthoor, Rajesh K. Gupta, Miguel Corbalan, 2000, DATE '00.

Ingolf Krüger, Rajesh K. Gupta, R. K. Shyamasundar, 2007, Haifa Verification Conference.

Rajesh K. Gupta, Ryo Sugihara, Rajesh K. Gupta, 2011, EWSN.

Zhuowen Tu, Rajesh K. Gupta, Vahideh Akhlaghi, 2019, 2019 Design, Automation & Test in Europe Conference & Exhibition (DATE).

Ingolf Krüger, Rajesh K. Gupta, R. K. Shyamasundar, 2006, Fourth ACM and IEEE International Conference on Formal Methods and Models for Co-Design, 2006. MEMOCODE '06. Proceedings..

Nikil D. Dutt, Rajesh K. Gupta, Alexandru Nicolau, 2004, FPL.

David E. Culler, Rajesh K. Gupta, Gabe Fierro, 2020, Frontiers in Built Environment.

Giovanni De Micheli, Rajesh K. Gupta, G. Micheli, 1997, IEEE Trans. Comput. Aided Des. Integr. Circuits Syst..

Mani B. Srivastava, Rajesh K. Gupta, M. Srivastava, 1997, ICCAD.

Jian Li, Rajesh K. Gupta, 1998, Proceedings of the Sixth International Workshop on Hardware/Software Codesign. (CODES/CASHE'98).

Luca Benini, Rajesh K. Gupta, Abbas Rahimi, 2016, IEEE Design & Test.

Rajesh K. Gupta, Ravindra Jejurikar, 2006, IEEE Trans. Comput. Aided Des. Integr. Circuits Syst..

Rajesh K. Gupta, Dinesh Ramanathan, Ravindra Jejurikar, 2000, Proceedings 2000. Design Automation Conference. (IEEE Cat. No.00CH37106).

Sandy Irani, Rajesh K. Gupta, Ali Dasdan, 1999, DAC '99.

Rajesh K. Gupta, 1996, Proceedings ED&TC European Design and Test Conference.

Rajesh K. Gupta, 2004, IEEE Des. Test Comput..

Rajesh K. Gupta, Muhammad Abdullah Adnan, Muhammad Abdullah Adnan, 2014, 2014 IEEE 7th International Conference on Cloud Computing.

Jian Li, Rajesh K. Gupta, 1997, 1997 Proceedings of IEEE International Conference on Computer Aided Design (ICCAD).

Rajesh K. Gupta, Weiyu Tang, Alexander V. Veidenbaum, 1999, ICS '99.

Mani B. Srivastava, Rajesh K. Gupta, Jonathan Friedman, 2011, 2011 IEEE/ACM Second International Conference on Cyber-Physical Systems.

Rajesh K. Gupta, Dezhi Hong, Jason Koh, 2019, LCTES.

Sandeep K. Shukla, Rajesh K. Gupta, Paul Le Guernic, 2003, DATE.

Puneet Gupta, Rajesh K. Gupta, Subhasish Mitra, 2019, IEEE Des. Test.

Jian Li, Rajesh K. Gupta, 1996, DAC '96.

Luca Benini, Daniele Cesarini, Rajesh K. Gupta, 2014, IEEE Journal on Emerging and Selected Topics in Circuits and Systems.

Rajesh K. Gupta, Ryo Sugihara, Rajesh K. Gupta, 2011, TOSN.

Sandy Irani, Sandeep K. Shukla, Rajesh K. Gupta, 2003, ICCAD-2003. International Conference on Computer Aided Design (IEEE Cat. No.03CH37486).

Sandy Irani, Rajesh K. Gupta, Dinesh Ramanathan, 2002, IEEE Trans. Comput. Aided Des. Integr. Circuits Syst..