Youfeng Wu

发表

Youfeng Wu, Dong-yuan Chen, Jesse Fang, 2001, Proceedings 28th Annual International Symposium on Computer Architecture.

Cheng Wang, Zhenmin Li, Yuanyuan Zhou, 2006, 2006 39th Annual IEEE/ACM International Symposium on Microarchitecture (MICRO'06).

Cheng Wang, Guido Araujo, Edson Borin, 2005, CARN.

Edson Borin, Youfeng Wu, Youfeng Wu, 2009, 2009 IEEE International Symposium on Workload Characterization (IISWC).

Youfeng Wu, Mauricio Breternitz, V. Ying, 2007 .

Li-Ling Chen, Youfeng Wu, Youfeng Wu, 2003, 2003 International Conference on Parallel Processing, 2003. Proceedings..

Stephen McCamant, Wenwen Wang, Antonia Zhai, 2017, MobiSys.

Herbert H. J. Hum, Youfeng Wu, Mauricio Breternitz, 2005, 2005 Third IEEE/ACM/IFIP International Conference on Hardware/Software Codesign and System Synthesis (CODES+ISSS'05).

Youfeng Wu, Youfeng Wu, 2002, Proceedings Sixth Annual Workshop on Interaction between Compilers and Computer Architectures.

Cheng Wang, Shiliang Hu, Youfeng Wu, 2007, Asia-Pacific Computer Systems Architecture Conference.

Bratin Saha, Ali-Reza Adl-Tabatabai, Wei-Yu Chen, 2007, International Symposium on Code Generation and Optimization (CGO'07).

Gilles Pokam, Cristiano Pereira, Youfeng Wu, 2013, Proceedings of the 22nd International Conference on Parallel Architectures and Compilation Techniques.

Cheng Wang, Hongbo Rong, Youfeng Wu, 2014, CGO '14.

Cheng Wang, Guido Araujo, Edson Borin, 2006, International Symposium on Code Generation and Optimization (CGO'06).

Utpal Banerjee, Pohua P. Chang, Youfeng Wu, 1996, LCPC.

Chyi-Chang Miao, Li-Ling Chen, George Chrysos, 2002, MICRO.

Youfeng Wu, Yong-Fong Lee, Yong-Fong Lee, 2005, Journal of Computer Science and Technology.

Roy Dz-Ching Ju, Li-Ling Chen, Youfeng Wu, 2003, 2003 IEEE International Symposium on Performance Analysis of Systems and Software. ISPASS 2003..

Youfeng Wu, Mauricio Breternitz, Victor Ying, 2007, 19th International Symposium on Computer Architecture and High Performance Computing (SBAC-PAD'07).

Margaret Martonosi, Qiang Wu, David M. Brooks, 2005, 38th Annual IEEE/ACM International Symposium on Microarchitecture (MICRO'05).

Cheng Wang, Hongbo Rong, Youfeng Wu, 2013, 2013 46th Annual IEEE/ACM International Symposium on Microarchitecture (MICRO).

Ted G. Lewis, Youfeng Wu, 1990, ICPP.

Youfeng Wu, Yong-Fong Lee, Yong-Fong Lee, 2004, Asia-Pacific Computer Systems Architecture Conference.

Ted G. Lewis, Youfeng Wu, 1989, Proceedings of the 1989 ACM/IEEE Conference on Supercomputing (Supercomputing '89).

Guido Araujo, Edson Borin, Youfeng Wu, 2011, 2011 23rd International Symposium on Computer Architecture and High Performance Computing.

Cheng Wang, Shiliang Hu, Edson Borin, 2011, International Symposium on Code Generation and Optimization (CGO 2011).

Shiliang Hu, Ruby B. Lee, Youfeng Wu, 2008 .

Li-Ling Chen, Youfeng Wu, Jesse Fang, 2002, 35th Annual IEEE/ACM International Symposium on Microarchitecture, 2002. (MICRO-35). Proceedings..

Guido Araujo, João Paulo Porto, Edson Borin, 2010, ISCA'10.

Guido Araujo, Edson Borin, Youfeng Wu, 2006, 2006 International Conference on Computer Design.

Theo Ungerer, Gilles Pokam, Youfeng Wu, 2016, 2016 International Conference on Parallel Architecture and Compilation Techniques (PACT).

Margaret Martonosi, Qiang Wu, David M. Brooks, 2006, IEEE Micro.

Cheng Wang, Youfeng Wu, Ho-Seop Kim, 2007, International Symposium on Code Generation and Optimization (CGO'07).

Wei Liu, Cheng Wang, Shai Rotem, 2010, CGO '10.

Cheng Wang, Guilherme Ottoni, Matthew J. Bridges, 2006, CC.

Gabriel H. Loh, Peter G. Sassone, Bryan Black, 2008, 2008 20th International Symposium on Computer Architecture and High Performance Computing.

Rakesh Krishnaiyer, Mauricio J. Serrano, Wei Li, 2002, CC.

Nalini Vasudevan, Youfeng Wu, Sara S. Baghsorkhi, 2016, PLDI.

Lei Zhang, Guoyang Chen, Xipeng Shen, 2017, 2017 50th Annual IEEE/ACM International Symposium on Microarchitecture (MICRO).

Cheng Wang, Edson Borin, Youfeng Wu, 2011, International Symposium on Code Generation and Optimization (CGO 2011).

James R. Larus, Youfeng Wu, 1994, MICRO 27.

Ted G. Lewis, Youfeng Wu, 1990, ICPP.

Guido Araujo, Edson Borin, Youfeng Wu, 2012, International Journal of Parallel Programming.

Ali-Reza Adl-Tabatabai, Gilles Pokam, Cristiano Pereira, 2011, 2011 44th Annual IEEE/ACM International Symposium on Microarchitecture (MICRO).

Cheng Wang, Youfeng Wu, Youfeng Wu, 2011, 2011 International Conference on Parallel Architectures and Compilation Techniques.

Youfeng Wu, Mauricio Breternitz, Tevi Devor, 2004, Eighth Workshop on Interaction between Compilers and Computer Architectures, 2004. INTERACT-8 2004..

Ted G. Lewis, Youfeng Wu, 1990, ICPP.

Chen Ding, Mitsunori Ogihara, Chengliang Zhang, 2006, POPL '06.

Cheng Wang, Hongbo Rong, Youfeng Wu, 2012, 2012 45th Annual IEEE/ACM International Symposium on Microarchitecture.

Youfeng Wu, Hsien-Hsin Lee, Gary Tyson, 2000, 2000 IEEE International Symposium on Performance Analysis of Systems and Software. ISPASS (Cat. No.00EX422).

Youfeng Wu, Byoungro So, Anwar Ghuloum, 2006 .

Utpal Banerjee, Youfeng Wu, Yong-Fong Lee, 2001, ISCA PDCS.

Cheng Wang, Marcelo Cintra, Youfeng Wu, 2013, Proceedings of the 2013 IEEE/ACM International Symposium on Code Generation and Optimization (CGO).

Jianhua Sun, Bo Wu, Xipeng Shen, 2014, OOPSLA.

Youfeng Wu, Jesse Fang, Mauricio Breternitz, 2004, International Symposium on Code Generation and Optimization, 2004. CGO 2004..

Guido Araujo, Cheng Wang, João Paulo Porto, 2009 .