Sudhakar Reddy

发表

Sudhakar Reddy, Madhu Parhar, S. P. Malhotra, 2018 .

Sudhakar Reddy, Madhukar Reddy, 1986, IEEE Design & Test of Computers.

Luigi Carro, Fernando Morgado Dias, Irith Pomeranz, 2013, J. Electron. Test..

Sudhakar Reddy, 2017 .

R. Dandapani, Sudhakar Reddy, 1987, IEEE Design & Test of Computers.

Kuen-Jong Lee, Wu-Tung Cheng, Cheng-Hung Wu, 2019, 2019 IEEE 28th Asian Test Symposium (ATS).