Marcus Yip

发表

Anantha Chandrakasan, Marcus Yip, A. Chandrakasan, 2013, IEEE Journal of Solid-State Circuits.

Anantha Chandrakasan, Rui Jin, Konstantina M. Stankovic, 2014, IEEE Journal of Solid-State Circuits.

Anantha P. Chandrakasan, Konstantina M. Stankovic, Marcus Yip, 2014, 2014 IEEE International Solid-State Circuits Conference Digest of Technical Papers (ISSCC).

Joel L. Dawson, Marcus Yip, Jose L. Bohorquez, 2009 .

David Da He, Marcus Yip, Robert Sheridan, 2009, 2009 Annual International Conference of the IEEE Engineering in Medicine and Biology Society.

Anantha Chandrakasan, Mahmut E. Sinangil, Masood Qazi, 2012, IEEE Transactions on Circuits and Systems II: Express Briefs.

Anantha P. Chandrakasan, Marcus Yip, Jose L. Bohorquez, 2012, 2012 Symposium on VLSI Circuits (VLSIC).

Anantha Chandrakasan, Marcus Yip, 2011, 2011 IEEE International Solid-State Circuits Conference.

Anantha P. Chandrakasan, Joel L. Dawson, Marcus Yip, 2010, 2010 Symposium on VLSI Circuits.

Anantha Chandrakasan, Joel L. Dawson, Marcus Yip, 2011, IEEE Journal of Solid-State Circuits.

Anantha Chandrakasan, Konstantina M. Stankovic, Marcus Yip, 2017, Scientific Reports.