José Duato

发表

Holger Fröning, Federico Silla, Héctor Montaner, 2010, 2010 IEEE International Conference on Cluster Computing.

Sudhakar Yalamanchili, José Duato, Francisco J. Quiles, 2003, International Conference on Parallel and Distributed Processing Techniques and Applications.

José Duato, Julio Sahuquillo, Salvador Petit, 2017, IEEE Transactions on Computers.

Pedro López, José Duato, María Engracia Gómez, 2016, The Journal of Supercomputing.

José González, José Duato, Manuel E. Acacio, 2002, Proceedings 16th International Parallel and Distributed Processing Symposium.

Antonio Robles, José Duato, Alberto Ros, 2016, IEEE Transactions on Parallel and Distributed Systems.

José Duato, Francisco J. Andujar, Juan A. Villar, 2016, IEEE Transactions on Computers.

José Duato, Wladek Olesinski, Hans Eberle, 2009, 2009 2nd International Workshop on Network on Chip Architectures.

Pedro López, Manuel P. Malumbres, José Duato, 2000, Proceedings 14th International Parallel and Distributed Processing Symposium. IPDPS 2000.

Pedro López, José Duato, Elvira Baydal, 2005, IEEE Transactions on Parallel and Distributed Systems.

Pedro López, José Duato, Elvira Baydal, 2000, Proceedings 14th International Parallel and Distributed Processing Symposium. IPDPS 2000.

Pedro López, José Duato, María Engracia Gómez, 2005, 19th IEEE International Parallel and Distributed Processing Symposium.

Pedro Cuenca, José Duato, Francisco J. Quiles, 1998, CANPC.

Antonio Robles, José Duato, José Carlos Sancho, 2000, CANPC.

José Duato, José L. Sánchez, Francisco J. Alfaro, 2004, IEEE Transactions on Parallel and Distributed Systems.

Pedro López, Manuel P. Malumbres, José Duato, 2001, Proceedings 15th International Parallel and Distributed Processing Symposium. IPDPS 2001.

Pedro López, José Duato, Julio Sahuquillo, 2009, 2009 12th Euromicro Conference on Digital System Design, Architectures, Methods and Tools.

José Duato, Juan M. Orduña, Pedro Morillo, 2005, 13th Euromicro Conference on Parallel, Distributed and Network-Based Processing.

Antonio Robles, Pedro López, José Duato, 2012, IEEE Transactions on Computers.

Pedro López, José Duato, María Engracia Gómez, 2014, 2014 22nd Euromicro International Conference on Parallel, Distributed, and Network-Based Processing.

Vishakha Gupta, Sudhakar Yalamanchili, José Duato, 2010 .

José Duato, José L. Sánchez, Francisco J. Alfaro, 2003, 2003 International Conference on Parallel Processing, 2003. Proceedings..

José Duato, José M. García, Juan Fernández Peinador, 2002, 27th Annual IEEE Conference on Local Computer Networks, 2002. Proceedings. LCN 2002..

José Duato, J. Duato, 2003 .

Xiang Yu, José Duato, Francisco J. Quiles, 2019, NEAT@SIGCOMM.

José Duato, Francisco J. Quiles, Jesús Escudero-Sahuquillo, 2018, J. Parallel Distributed Comput..

Antonio Robles, Pedro López, Manuel P. Malumbres, 1997, CANPC.

Federico Silla, José Duato, Vicente Santonja, 2001, Proceedings 15th International Parallel and Distributed Processing Symposium. IPDPS 2001.

José Duato, Timothy Mark Pinkston, Bilal Zafar, 2003, PDPTA.

José Duato, Francisco J. Quiles, Jesús Escudero-Sahuquillo, 2010, 2010 IEEE 16th International Conference on Parallel and Distributed Systems.

Pedro López, José Duato, Julio Sahuquillo, 2011, 2011 International Conference on Parallel Architectures and Compilation Techniques.

Pedro López, José Duato, Julio Sahuquillo, 2012, IEEE Transactions on Computers.

José Duato, Julio Sahuquillo, Salvador Petit, 2014, IEEE Transactions on Parallel and Distributed Systems.

José Duato, Francisco J. Quiles, Timothy Mark Pinkston, 2003, 2003 Proceedings IEEE International Conference on Cluster Computing.

Federico Silla, José Duato, Vicente Santonja, 2000, Proceedings 8th International Symposium on Modeling, Analysis and Simulation of Computer and Telecommunication Systems (Cat. No.PR00728).

Holger Fröning, Federico Silla, Héctor Montaner, 2011, 2011 IEEE International Conference on High Performance Computing and Communications.

Antonio Robles, José Duato, Alberto Ros, 2017, IEEE Transactions on Parallel and Distributed Systems.

José Duato, José L. Sánchez, Francisco J. Alfaro, 2008, ICOIN.

José Duato, Mitchell Gusat, Jose Flich, 2005, Euro-Par.

José Duato, Jose Flich, Samuel Rodrigo, 2008, 2008 41st IEEE/ACM International Symposium on Microarchitecture.

José Duato, Julio Sahuquillo, Salvador Petit, 2016, 2016 24th Euromicro International Conference on Parallel, Distributed, and Network-Based Processing (PDP).

Federico Silla, José Duato, Vicente Santonja, 2001, Proceedings. Eighth International Conference on Parallel and Distributed Systems. ICPADS 2001.

José Duato, J. Duato, 2003 .

José Duato, Pedro Javier García, Jose Flich, 2007, 2007 International Conference on Parallel Processing (ICPP 2007).

Holger Fröning, Javier Prades, Federico Silla, 2012, 2012 IEEE International Conference on Cluster Computing.

Federico Silla, Rafael Mayo, Enrique S. Quintana-Ortí, 2010, 2010 International Conference on High Performance Computing & Simulation.

José Duato, Julio Sahuquillo, Salvador Petit, 2012, 2012 IEEE 26th International Parallel and Distributed Processing Symposium.

José Duato, Olav Lysne, José Miguel Montañana, 2008, IEEE Transactions on Computers.

José Duato, Jose Flich, J. Duato, 2008, IEEE Computer Architecture Letters.

José Duato, Olav Lysne, Timothy Mark Pinkston, 2005, IEEE Transactions on Parallel and Distributed Systems.

José Duato, Ian Johnson, Pedro Javier García, 2005, 11th International Symposium on High-Performance Computer Architecture.

Ziqiang Liu, José Duato, 1994, 1994 Proceedings of the Twenty-Seventh Hawaii International Conference on System Sciences.

José Duato, María Engracia Gómez, Crispín Gómez Requena, 2008, 2008 14th IEEE International Conference on Parallel and Distributed Systems.

José Duato, Francisco J. Quiles, Aurelio Bermúdez, 2007, IEEE Transactions on Parallel and Distributed Systems.

Federico Silla, José Duato, Jose Flich, 2010, 2010 Fourth ACM/IEEE International Symposium on Networks-on-Chip.

José Duato, 1995, IEEE Trans. Parallel Distributed Syst..

Federico Silla, José Duato, Vicente Santonja, 2000, ISHPC.

Antonio Robles, José Duato, José Miguel Montañana, 2005, ISHPC.

Antonio Robles, Pedro López, José Duato, 2002, Proceedings 16th International Parallel and Distributed Processing Symposium.

Silvia Rueda, José Duato, Juan M. Orduña, 2005, 19th IEEE International Parallel and Distributed Processing Symposium.

José Duato, Olav Lysne, Timothy Mark Pinkston, 2005, IEEE Transactions on Parallel and Distributed Systems.

Sudhakar Yalamanchili, Federico Silla, José Duato, 2008 .

Pedro López, Manuel P. Malumbres, José Duato, 2000, ICS '00.

Pedro López, José Duato, Julio Sahuquillo, 2007, 16th International Conference on Parallel Architecture and Compilation Techniques (PACT 2007).

Antonio Robles, Pedro López, José Duato, 2002, ISHPC.

Pedro López, José Duato, Juan-Miguel Martínez, 1998, Proceedings. 1998 International Conference on Parallel Processing (Cat. No.98EX205).

Antonio Robles, Pedro López, José Duato, 2007, 15th EUROMICRO International Conference on Parallel, Distributed and Network-Based Processing (PDP'07).

Pedro López, José Duato, Rosa Alcover, 1996, Proceedings of 4th Euromicro Workshop on Parallel and Distributed Processing.

José Duato, J. Duato, 1993, Proceedings of 1993 5th IEEE Symposium on Parallel and Distributed Processing.

José Duato, José M. García, 1993, 1993 Euromicro Workshop on Parallel and Distributed Processing.

Pedro López, José Duato, Fabrizio Petrini, 1997, Proceedings Fourth International Conference on High-Performance Computing.

José Duato, Francisco J. Andujar, Juan A. Villar, 2015, The Journal of Supercomputing.

Chita R. Das, Anand Sivasubramaniam, Federico Silla, 1998, Proceedings. Fifth International Conference on High Performance Computing (Cat. No. 98EX238).

Federico Silla, José Duato, Carles Hernández, 2012, Euro-Par Workshops.

José González, José Duato, Manuel E. Acacio, 2002, Proceedings 10th Euromicro Workshop on Parallel, Distributed and Network-based Processing.

Sudhakar Yalamanchili, José Duato, Francisco J. Quiles, 2001, Proceedings 15th International Parallel and Distributed Processing Symposium. IPDPS 2001.

José Duato, Julio Sahuquillo, Antonio González, 2002, Proceedings.International Conference on Parallel Architectures and Compilation Techniques.

Chita R. Das, José Duato, Mazin S. Yousif, 2003, The Ninth International Symposium on High-Performance Computer Architecture, 2003. HPCA-9 2003. Proceedings..

Pedro López, José Duato, Olav Lysne, 2006, 2006 Symposium on Architecture For Networking And Communications Systems.

José Duato, Juan M. Orduña, Maurizio Palesi, 2008, Euro-Par.

Pedro López, José Duato, Rosa Alcover, 1998, J. Syst. Archit..

Pedro López, José Duato, Julio Sahuquillo, 2012, 2012 IEEE 30th International Conference on Computer Design (ICCD).

Dhabaleswar K. Panda, José Duato, P. Sadayappan, 2000, CANPC.

Holger Fröning, José Duato, Francisco J. Andujar, 2019, Concurr. Comput. Pract. Exp..

Pedro López, José Duato, María Engracia Gómez, 2006, J. Parallel Distributed Comput..

Federico Silla, José Duato, Vicente Santonja, 2001, Proceedings IEEE International Symposium on Network Computing and Applications. NCA 2001.

Antonio Robles, José Duato, Blas Cuesta, 2008, 2008 Ninth International Conference on Parallel and Distributed Computing, Applications and Technologies.

Pedro López, José Duato, Jose Flich, 2001, International Conference on Parallel Processing, 2001..

José Duato, Francisco J. Quiles, José L. Sánchez, 2000, CANPC.

Antonio Robles, José Duato, José Carlos Sancho, 2000, ISHPC.

Pedro López, José Duato, Julio Sahuquillo, 2010, 2010 19th International Conference on Parallel Architectures and Compilation Techniques (PACT).

José Duato, Rosa Alcover, Vicente Chirivella, 2000, Proceedings International Symposium on Parallel Architectures, Algorithms and Networks. I-SPAN 2000.

José Duato, Julio Sahuquillo, Salvador Petit, 2011, The Journal of Supercomputing.

Federico Silla, José Duato, Jose Flich, 2012, 2012 41st International Conference on Parallel Processing.

Antonio Robles, Federico Silla, José Duato, 1998, Proceedings. 1998 International Conference on Parallel Processing (Cat. No.98EX205).

Adrián Castelló, Enrique S. Quintana-Ortí, José Duato, 2019, 2019 19th IEEE/ACM International Symposium on Cluster, Cloud and Grid Computing (CCGRID).

José Duato, Davide Bertozzi, Jose Flich, 2008, Second ACM/IEEE International Symposium on Networks-on-Chip (nocs 2008).

Antonio Robles, José Duato, Alberto Ros, 2013, 2013 42nd International Conference on Parallel Processing.

José Duato, David R. Kaeli, Julio Sahuquillo, 2015, Microprocess. Microsystems.

José Duato, Francisco J. Andujar, Juan A. Villar, 2009 .

José González, José Duato, Manuel E. Acacio, 2002, ACM/IEEE SC 2002 Conference (SC'02).

José Duato, Francisco J. Andujar, Juan A. Villar, 2015, IEEE Transactions on Computers.

Adrián Castelló, Enrique S. Quintana-Ortí, José Duato, 2019, EuroMPI.

José Duato, Francisco J. Quiles, Antonio Robles-Gómez, 2008, J. Syst. Archit..

José Duato, Francisco J. Quiles, Jesús Escudero-Sahuquillo, 2014, J. Parallel Distributed Comput..

Chita R. Das, José Duato, José L. Sánchez, 2002, Proceedings 16th International Parallel and Distributed Processing Symposium.

Pedro López, Manuel P. Malumbres, José Duato, 2000, Proceedings 2000 International Conference on Parallel Processing.

Sudhakar Yalamanchili, José Duato, Francisco J. Quiles, 1999, CANPC.

Antonio Robles, José Duato, Blas Cuesta, 2008, 16th Euromicro Conference on Parallel, Distributed and Network-Based Processing (PDP 2008).

Pedro López, José Duato, 1995, Comput. Artif. Intell..

José Duato, Jose Flich, Teresa Nachiondo Frinós, 2004, 12th Euromicro Conference on Parallel, Distributed and Network-Based Processing, 2004. Proceedings..

José Duato, Dong Xiang, Zhigang Yu, 2014, 2014 Eighth IEEE/ACM International Symposium on Networks-on-Chip (NoCS).

Antonio Robles, José Duato, José Carlos Sancho, 2002, Proceedings 10th Euromicro Workshop on Parallel, Distributed and Network-based Processing.

Antonio Robles, José Duato, José Carlos Sancho, 2001, International Conference on Parallel Processing, 2001..

Pedro López, José Duato, María Engracia Gómez, 2012, Euro-Par.

Federico Silla, José Duato, Jose Flich, 2013, J. Syst. Archit..

Pedro López, Manuel P. Malumbres, José Duato, 2002, Proceedings 10th Euromicro Workshop on Parallel, Distributed and Network-based Processing.

Pedro López, José Duato, J. Duato, 1998, WCAE '98.

Pedro López, Manuel P. Malumbres, José Duato, 2003, IEEE Trans. Computers.

José Duato, Francisco J. Quiles, José L. Sánchez, 2007, IEEE Transactions on Parallel and Distributed Systems.

Silvia Rueda, José Duato, Juan M. Orduña, 2010, Future Gener. Comput. Syst..

Pedro López, José Duato, María Engracia Gómez, 2007, ISPA.

José Duato, Julio Sahuquillo, Salvador Petit, 2011, Euro-Par.

José Duato, Juan M. Orduña, Vicente Arnau, 2000, Proceedings IEEE International Conference on Cluster Computing. CLUSTER 2000.

José Duato, Pedro Albertos, 1984 .

Luca Benini, Pedro López, José Duato, 2008, Second ACM/IEEE International Symposium on Networks-on-Chip (nocs 2008).

Antonio Robles, José Duato, José Carlos Sancho, 2004, IEEE Transactions on Parallel and Distributed Systems.

Pedro López, Manuel P. Malumbres, José Duato, 2002, IEEE Trans. Parallel Distributed Syst..

José Duato, José L. Sánchez, Francisco J. Alfaro, 2008, IEEE Transactions on Computers.

Antonio Robles, José Duato, Alberto Ros, 2012, 2012 IEEE 10th International Symposium on Parallel and Distributed Processing with Applications.

Federico Silla, José Duato, Jose Flich, 2011, J. Parallel Distributed Comput..

Federico Silla, José Duato, Vicente Santonja, 2001, Proceedings. 34th Annual Simulation Symposium.

José Duato, Francisco J. Quiles, Jesús Escudero-Sahuquillo, 2010, Euro-Par.

José Duato, Juan M. Orduña, Pedro Morillo, 2003, Euro-Par.

Federico Silla, José Duato, Carles Hernández, 2009, 2009 IEEE International Symposium on Parallel & Distributed Processing.

José Duato, Angelos Bilas, Fabrizio Petrini, 2004, Euro-Par.

José Duato, Francisco J. Quiles, Ian Johnson, 2006, 2006 International Conference on Parallel Processing (ICPP'06).

Pedro López, Manuel P. Malumbres, José Duato, 1998, Computer Performance Evaluation.

Pedro López, José Duato, María Engracia Gómez, 2013, ICCS.

Pedro López, José Duato, Julio Sahuquillo, 2011, 2011 23rd International Symposium on Computer Architecture and High Performance Computing.

José Duato, María Engracia Gómez, Roberto Peñaranda, 2014, 2014 IEEE Intl Conf on High Performance Computing and Communications, 2014 IEEE 6th Intl Symp on Cyberspace Safety and Security, 2014 IEEE 11th Intl Conf on Embedded Software and Syst (HPCC,CSS,ICESS).

Pedro López, José Duato, J. Duato, 1994, PCRCW.

Pedro López, José Duato, 1994, Proceedings. Second Euromicro Workshop on Parallel and Distributed Processing.

José Duato, Jose Flich, Samuel Rodrigo, 2008 .

José Duato, Robert J. Drost, Pedro García, 2008, HiPC 2008.

José Duato, 2001, Proceedings IEEE International Symposium on Network Computing and Applications. NCA 2001.

Antonio Robles, Pedro López, José Duato, 2003, Proceedings International Parallel and Distributed Processing Symposium.

José Duato, Pedro Albertos, 1983 .

Holger Fröning, Federico Silla, Héctor Montaner, 2011, 2011 IEEE International Conference on High Performance Computing and Communications.

José Duato, José L. Sánchez, Francisco J. Alfaro, 2009, J. Parallel Distributed Comput..

Holger Fröning, Federico Silla, Héctor Montaner, 2011, 2011 18th International Conference on High Performance Computing.

José Duato, Francisco J. Andujar, Juan A. Villar, 2011, 2011 IEEE International Conference on High Performance Computing and Communications.

Carlos Reaño, Federico Silla, Adrián Castelló, 2014, 2014 IEEE International Conference on Cluster Computing (CLUSTER).

José Duato, Jose Flich, Tomás Picornell, 2019, 2019 56th ACM/IEEE Design Automation Conference (DAC).

Silvia Rueda, José Duato, Juan M. Orduña, 2007, IEEE Transactions on Parallel and Distributed Systems.

Sudhakar Yalamanchili, José Duato, Francisco J. Quiles, 1999, Proceedings Fifth International Symposium on High-Performance Computer Architecture.

José Duato, Timothy Mark Pinkston, J. Duato, 2001, IEEE Trans. Parallel Distributed Syst..

José Duato, Francisco J. Andujar, Juan A. Villar, 2011, 2011 IEEE 10th International Symposium on Network Computing and Applications.

Pedro López, José Duato, María Engracia Gómez, 2006, Euro-Par.

José Duato, José M. García, Joaquin Fernández, 2001, Proceedings 15th International Parallel and Distributed Processing Symposium. IPDPS 2001.

José Duato, Julio Sahuquillo, Salvador Petit, 2014, ICS '14.

Carlos Reaño, Federico Silla, Enrique S. Quintana-Ortí, 2013, 2013 IEEE International Conference on Cluster Computing (CLUSTER).

Sudhakar Yalamanchili, José Duato, Francisco J. Quiles, 2002, 2002 IEEE Workshop on Multimedia Signal Processing..

Sudhakar Yalamanchili, José Duato, Indrani Paul, 2002, HiPC.

José Duato, José L. Sánchez, Francisco J. Alfaro, 2007, 2007 IEEE International Parallel and Distributed Processing Symposium.

Silvia Rueda, José Duato, Juan M. Orduña, 2007, Comput. Commun..

José Duato, Juan M. Orduña, 1998, Proceedings of the 1998 ICPP Workshop on Architectural and OS Support for Multimedia Applications Flexible Communication Systems. Wireless Networks and Mobile Computing (Cat. No.98EX206).

Manuel P. Malumbres, José Duato, 1996, Euro-Par, Vol. I.

Antonio Robles, Pedro López, José Duato, 2002, Euro-Par.

Sudhakar Yalamanchili, José Duato, J. Duato, 2000, Lecture Notes in Computer Science.

José Duato, Fabrizio Petrini, Salvador Coll, 2003, SC.

José Duato, Juan M. Orduña, Vicente Arnau, 2000, Proceedings 2000 International Conference on Parallel Processing.

José Duato, Julio Sahuquillo, Salvador Petit, 2010, 2010 18th Euromicro Conference on Parallel, Distributed and Network-based Processing.

Pedro López, José Duato, Elvira Baydal, 2001, Proceedings Ninth Euromicro Workshop on Parallel and Distributed Processing.

Federico Silla, José Duato, Jose Flich, 2011, IEEE Computer Architecture Letters.

Dhabaleswar K. Panda, Manuel P. Malumbres, Federico Silla, 1998, Proceedings. 1998 International Conference on Parallel Processing (Cat. No.98EX205).

José Duato, Francisco J. Quiles, Timothy Mark Pinkston, 2003, 2003 International Conference on Parallel Processing, 2003. Proceedings..

Antonio Robles, José Duato, Michihiro Koibuchi, 2004 .

Pedro López, José Duato, Julio Sahuquillo, 2012, IEEE Transactions on Very Large Scale Integration (VLSI) Systems.

José Duato, Francisco J. Andujar, Juan A. Villar, 2014, IEEE Transactions on Computers.

Antonio Robles, Pedro López, José Duato, 2004, HiPC.

José Duato, Jesús Escudero-Sahuquillo, Pedro Javier García, 2020, IEEE Micro.

José Duato, José L. Sánchez, Francisco J. Alfaro, 2007, 2007 IEEE International Parallel and Distributed Processing Symposium.

Antonio Robles, José Duato, José Carlos Sancho, 2001, Proceedings Ninth Euromicro Workshop on Parallel and Distributed Processing.

José Duato, Julio Sahuquillo, Salvador Petit, 2014, 2014 IEEE Intl Conf on High Performance Computing and Communications, 2014 IEEE 6th Intl Symp on Cyberspace Safety and Security, 2014 IEEE 11th Intl Conf on Embedded Software and Syst (HPCC,CSS,ICESS).

José Duato, María Engracia Gómez, Crispín Gómez Requena, 2008, 2008 14th IEEE International Conference on Parallel and Distributed Systems.

José Duato, José L. Sánchez, Francisco J. Alfaro, 2005, HiPC.

José Duato, Nieves R. Brisaboa, Oscar Pedreira, 2012, ADBIS.

Federico Silla, José Duato, 2000, IEEE Trans. Parallel Distributed Syst..

José Duato, J. Duato, 1993, IEEE Trans. Parallel Distributed Syst..

José Duato, Francisco J. Quiles, Ian Johnson, 2005, HiPEAC.

José Duato, Jose Flich, Sven-Arne Reinemo, 2007, 15th EUROMICRO International Conference on Parallel, Distributed and Network-Based Processing (PDP'07).

Pedro López, José Duato, María Engracia Gómez, 2011, Concurr. Comput. Pract. Exp..

Federico Silla, José Duato, Carles Hernández, 2011, 2011 International Conference on Parallel Processing.

Antonio Robles, José Duato, José Miguel Montañana, 2006, 12th International Conference on Parallel and Distributed Systems - (ICPADS'06).

Federico Silla, José Duato, Jose Flich, 2010, 2010 13th Euromicro Conference on Digital System Design: Architectures, Methods and Tools.

Antonio Robles, Federico Silla, José Duato, 2001, J. Parallel Distributed Comput..

José Duato, Jose Flich, Andres Mejia, 2008, 2008 37th International Conference on Parallel Processing.

Chita R. Das, José Duato, Eun Jung Kim, 2003, HPCA 2003.

Chita R. Das, José Duato, Mazin S. Yousif, 2002, Proceedings. IEEE International Conference on Cluster Computing.

José Duato, Jose Flich, Antonio Robles, 2003 .

José Duato, José L. Sánchez, Francisco J. Alfaro, 2005, 10th IEEE Symposium on Computers and Communications (ISCC'05).

José Duato, Maurizio Palesi, Rafael Tornero, 2013, Comput. Informatics.

José Duato, Julio Sahuquillo, Salvador Petit, 2013, Concurr. Comput. Pract. Exp..

Young-Joo Suh, Sudhakar Yalamanchili, José Duato, 1995, ICPP.

Federico Silla, Enrique S. Quintana-Ortí, Antonio J. Peña, 2011, 2011 18th International Conference on High Performance Computing.

Carlos Reaño, Federico Silla, Rafael Mayo, 2014, Parallel Comput..

José Duato, Francisco J. Quiles, Jesús Escudero-Sahuquillo, 2015, IEEE Transactions on Parallel and Distributed Systems.

José Duato, Jose Flich, Teresa Nachiondo Frinós, 2010, IEEE Transactions on Parallel and Distributed Systems.

José Duato, Robert J. Drost, Pedro Javier García, 2008, 2008 SC - International Conference for High Performance Computing, Networking, Storage and Analysis.

Antonio Robles, Pedro López, José Duato, 2004, International Conference on Parallel Processing, 2004. ICPP 2004..

Marcello Coppola, Riccardo Locatelli, José Duato, 2011, Proceedings of the Fifth ACM/IEEE International Symposium.

Pedro López, José Duato, Julio Sahuquillo, 2013, IEEE Transactions on Computers.

José Duato, José L. Sánchez, Francisco J. Alfaro, 2002, Proceedings 16th International Parallel and Distributed Processing Symposium.

Pedro López, Manuel P. Malumbres, José Duato, 2002, IEEE Trans. Parallel Distributed Syst..

Federico Silla, José Duato, Román García, 2003, Second IEEE International Symposium on Network Computing and Applications, 2003. NCA 2003..

José Duato, 1998, Proceedings of the Sixth Euromicro Workshop on Parallel and Distributed Processing - PDP '98 -.

José Duato, Juan M. Orduña, Pedro Morillo, 2006, 2006 International Conference on Parallel Processing Workshops (ICPPW'06).

Antonio Robles, Pedro López, José Duato, 2010, 2010 18th Euromicro Conference on Parallel, Distributed and Network-based Processing.

Holger Fröning, Federico Silla, Héctor Montaner, 2010, Cluster Computing.

Federico Silla, José Duato, Jose Flich, 2011, 2011 International Conference on Parallel Processing.

Zheng Li, José Duato, Olivier Temam, 2010, 2010 19th International Conference on Parallel Architectures and Compilation Techniques (PACT).

Pedro López, José Duato, Timothy Mark Pinkston, 1997, Proceedings of the 1997 International Conference on Parallel Processing (Cat. No.97TB100162).

José González, José Duato, Manuel E. Acacio, 2001, Proceedings HPCA Seventh International Symposium on High-Performance Computer Architecture.

José Duato, Joaquín Dopazo, Ignacio Medina, 2015, Bioinform..

José Duato, Fabrizio Petrini, Salvador Coll, 2009, IEEE Transactions on Parallel and Distributed Systems.

Marcello Coppola, Riccardo Locatelli, José Duato, 2014, IEEE Transactions on Computers.

José Duato, Julio Sahuquillo, Salvador Petit, 2013, Proceedings of the 22nd International Conference on Parallel Architectures and Compilation Techniques.

José Duato, Fabrizio Petrini, Adolfy Hoisie, 2004 .

Earl E. Swartzlander, José Duato, Lionel M. Ni, 1994, ICPADS.

José Duato, José L. Sánchez, Francisco J. Alfaro, 2006, HPCC.

Federico Silla, Enrique S. Quintana-Ortí, Antonio J. Peña, 2012 .

José Duato, Francisco J. Quiles, Aurelio Bermúdez, 2004, 18th International Parallel and Distributed Processing Symposium, 2004. Proceedings..

Pedro López, José Duato, Marina Alonso, 2006, Proceedings 20th IEEE International Parallel & Distributed Processing Symposium.

José Duato, Francisco J. Quiles, José L. Sánchez, 2000, Proceedings Sixth International Symposium on High-Performance Computer Architecture. HPCA-6 (Cat. No.PR00550).

José Duato, 1997, IEEE Trans. Parallel Distributed Syst..

José Duato, Julio Sahuquillo, Salvador Petit, 2013, PACT 2013.

José Duato, Julio Sahuquillo, Salvador Petit, 2008, 2008 IEEE International Symposium on Parallel and Distributed Processing.

José Duato, Julio Sahuquillo, Salvador Petit, 2015, 2015 International Conference on High Performance Computing & Simulation (HPCS).

José Duato, Francisco J. Quiles, Jesús Escudero-Sahuquillo, 2011, Concurr. Comput. Pract. Exp..

Antonio Robles, Pedro López, José Duato, 2004, NPC.

Pedro López, José Duato, María Engracia Gómez, 2016, IEEE Transactions on Parallel and Distributed Systems.

Dhabaleswar K. Panda, José Duato, P. Sadayappan, 2000, Proceedings 2000. International Workshop on Parallel Processing.

Federico Silla, José Duato, F. Silla, 1997, Proceedings Fourth International Conference on High-Performance Computing.

Josep Torrellas, José Duato, José F. Martínez, 1999, ICS '99.

José Duato, Francisco J. Quiles, Luis Orozco-Barbosa, 2001, Proceedings Second International Workshop on Digital and Computational Video.

Pedro López, José Duato, María Engracia Gómez, 2012, 2012 IEEE 18th International Conference on Parallel and Distributed Systems.

Wu-chun Feng, José Duato, 2005 .

Federico Silla, Enrique S. Quintana-Ortí, Antonio J. Peña, 2011, 2011 International Conference on Parallel Processing.

José Duato, Francisco J. Quiles, Pedro Javier García, 2004, Parallel and Distributed Computing and Networks.

José Duato, Francisco J. Quiles, José L. Sánchez, 2000, CANPC.

Federico Silla, José Duato, Jose Flich, 2011, Microprocess. Microsystems.

Pedro López, José Duato, María Engracia Gómez, 2014, The Journal of Supercomputing.

Pedro López, José Duato, Shashi Kumar, 2009, IEEE Transactions on Very Large Scale Integration (VLSI) Systems.

José Duato, Olav Lysne, J. Duato, 2000, Proceedings 2000 International Conference on Parallel Processing.

Carlos Reaño, Federico Silla, Adrián Castelló, 2015, Concurr. Comput. Pract. Exp..

Antonio Robles, Pedro López, José Duato, 2002, Proceedings International Conference on Parallel Processing.

José Duato, 1991, Proceedings of the Third IEEE Symposium on Parallel and Distributed Processing.

Antonio Robles, Pedro López, José Duato, 2003, J. Syst. Archit..

Federico Silla, José Duato, Davide Bertozzi, 2011, IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems.

José Duato, José Miguel Montañana, Jose Flich, 2008, 2008 IEEE International Symposium on Parallel and Distributed Processing.

Pedro López, Manuel P. Malumbres, José Duato, 2001, Proceedings 15th International Parallel and Distributed Processing Symposium. IPDPS 2001.

Sudhakar Yalamanchili, José Duato, Binh Vien Dao, 1997, Proceedings Third International Symposium on High-Performance Computer Architecture.

Antonio Robles, Pedro López, José Duato, 2005, J. Parallel Distributed Comput..

José Duato, Francisco J. Quiles, Jesús Escudero-Sahuquillo, 2019, 2019 IEEE Symposium on High-Performance Interconnects (HOTI).

Carlos Reaño, Federico Silla, Enrique S. Quintana-Ortí, 2012, 2012 19th International Conference on High Performance Computing.

José Duato, Francisco J. Quiles, Jesús Escudero-Sahuquillo, 2013, Euro-Par.

Sudhakar Yalamanchili, José Duato, Binh Vien Dao, 1995, ISCA.

Pedro López, José Duato, Marina Alonso, 2010, Parallel Comput..

José Duato, Manuel E. Acacio, Ricardo Fernández Pascual, 2008, HiPC'08.

Pedro López, José Duato, Juan-Miguel Martínez, 1999, Proceedings Fifth International Symposium on High-Performance Computer Architecture.

Antonio Robles, Pedro López, José Duato, 2012, IEEE Transactions on Parallel and Distributed Systems.

Pedro López, José Duato, Elvira Baydal, 2002, ISHPC.

Sudhakar Yalamanchili, José Duato, Francisco J. Quiles, 2000, Proceedings 14th International Parallel and Distributed Processing Symposium. IPDPS 2000.

Antonio Robles, Pedro López, José Duato, 2003, Proceedings International Parallel and Distributed Processing Symposium.

José Duato, Julio Sahuquillo, Antonio González, 2005, IEEE Transactions on Parallel and Distributed Systems.

Dezun Dong, José Duato, Fei Lei, 2020, ICS.

Federico Silla, Héctor Montaner, José Duato, 2008, 2008 37th International Conference on Parallel Processing.

José Duato, José M. García, José L. Sánchez, 1998, Proceedings of the Sixth Euromicro Workshop on Parallel and Distributed Processing - PDP '98 -.

José Duato, José M. García, Juan Fernández Peinador, 2001, HiPC.

Pedro López, José Duato, María Engracia Gómez, 2005, The 4th International Symposium on Parallel and Distributed Computing (ISPDC'05).

Pedro López, José Duato, Elvira Baydal, 2002, Euro-Par.

José Duato, Olav Lysne, Timothy Mark Pinkston, 2003, 2003 International Conference on Parallel Processing, 2003. Proceedings..

José Duato, Vicente Chirivella, Rosa Alcover, 2001 .

José Duato, Olav Lysne, Tor Skeie, 2008 .

Federico Silla, José Duato, Juan M. Orduña, 2002, Comput. Artif. Intell..

José Duato, Roman Garcia, 1998, Int. J. Found. Comput. Sci..

José Duato, Jose Flich, Pedro López, 2001 .

José Duato, Olav Lysne, Tor Skeie, 2011, IEEE Transactions on Computers.

Carlos Reaño, Federico Silla, José Duato, 2017, 2017 17th IEEE/ACM International Symposium on Cluster, Cloud and Grid Computing (CCGRID).

Federico Silla, José Duato, Vicente Santonja, 2001, Proceedings Ninth Euromicro Workshop on Parallel and Distributed Processing.

Pedro López, José Duato, Marina Alonso, 2005, 19th IEEE International Parallel and Distributed Processing Symposium.

José Duato, Francisco J. Quiles, José L. Sánchez, 2000 .

José Duato, Francisco J. Quiles, Ian Johnson, 2006, IEEE Micro.

Antonio Robles, Pedro López, José Duato, 2004, Proceedings. Tenth International Conference on Parallel and Distributed Systems, 2004. ICPADS 2004..

José Duato, Román García, José Serrano, 1998, Proceedings. 1998 International Conference on Parallel Processing (Cat. No.98EX205).

José Duato, J. Duato, 2010 .

José Duato, José L. Sánchez, Francisco J. Alfaro, 2007, IEEE Transactions on Computers.

Pedro López, José Duato, María Engracia Gómez, 2008, IEEE Computer Architecture Letters.

Nan Ni, José Duato, Mitchell Gusat, 2005, 13th Symposium on High Performance Interconnects (HOTI'05).

Pedro López, José Duato, María Engracia Gómez, 2006, Scalable Comput. Pract. Exp..

Pedro López, Manuel P. Malumbres, José Duato, 1999, Proceedings of the 1999 International Conference on Parallel Processing.

José Duato, Pedro Albertos, 1986 .

Sudhakar Yalamanchili, José Duato, Francisco J. Quiles, 2006, J. Parallel Distributed Comput..

José Duato, Rosa Alcover, Vicente Chirivella, 2009, Euro-Par.

Pedro López, José Duato, Jose Flich, 2007, First International Symposium on Networks-on-Chip (NOCS'07).

José Duato, Olav Lysne, José Miguel Montañana, 2004, HiPC.

José Duato, Juan M. Orduña, Pedro Morillo, 2009, IEEE Transactions on Systems, Man, and Cybernetics - Part A: Systems and Humans.

José Duato, Francisco J. Quiles, Pedro Javier García, 2009, IEEE Transactions on Parallel and Distributed Systems.

Federico Silla, José Duato, Carles Hernández, 2010, 2010 Design, Automation & Test in Europe Conference & Exhibition (DATE 2010).

Pedro López, José Duato, Julio Sahuquillo, 2009, 2009 42nd Annual IEEE/ACM International Symposium on Microarchitecture (MICRO).

José González, José Duato, Manuel E. Acacio, 2002, Proceedings.International Conference on Parallel Architectures and Compilation Techniques.

José Duato, Francisco J. Quiles, María Blanca Caminero, 2002, Proceedings. IEEE International Conference on Multimedia and Expo.

José Duato, Juan M. Orduña, Jose Flich, 2010, International Journal of Parallel Programming.

José Duato, Timothy Mark Pinkston, Anjan K. Venkatramani, 1996, Proceedings of International Conference on Parallel Processing.

Ziqiang Liu, José Duato, Lars-Erik Thorelli, 1993, PARLE.

José Duato, Olav Lysne, Tor Skeie, 2006, 2006 International Conference on Parallel Processing (ICPP'06).

José Duato, Francisco J. Quiles, Jesús Escudero-Sahuquillo, 2008, HiPC'08.

Holger Fröning, Federico Silla, Héctor Montaner, 2011, CIKM '11.

José Duato, Manuel E. Acacio, Ricardo Fernández Pascual, 2007, 2007 IEEE 13th International Symposium on High Performance Computer Architecture.

José Duato, José L. Sánchez, Francisco J. Alfaro, 2006, 12th International Conference on Parallel and Distributed Systems - (ICPADS'06).

Holger Fröning, José Duato, Francisco J. Andujar, 2017, 2017 IEEE 3rd International Workshop on High-Performance Interconnection Networks in the Exascale and Big-Data Era (HiPINEB).

José Duato, Francisco J. Quiles, Jesús Escudero-Sahuquillo, 2013, IEEE Transactions on Parallel and Distributed Systems.

José Duato, Manuel E. Acacio, Ricardo Fernández Pascual, 2008, IEEE Transactions on Parallel and Distributed Systems.

Antonio Robles, José Duato, Blas Cuesta, 2007, 15th EUROMICRO International Conference on Parallel, Distributed and Network-Based Processing (PDP'07).

José Duato, Julio Sahuquillo, Salvador Petit, 2010, ICA3PP.

Sudhakar Yalamanchili, José Duato, Lionel M. Ni, 2002 .

José Duato, Cruz Izu, Valentin Puente, 1999, Proceedings of the 1999 International Conference on Parallel Processing.

José Duato, Julio Sahuquillo, Salvador Petit, 2011, ICA3PP.

Pedro López, José Duato, Juan-Miguel Martínez, 1998, Proceedings 1998 Fourth International Symposium on High-Performance Computer Architecture.

Antonio Robles, José Duato, Alberto Ros, 2012, IEEE Transactions on Computers.

Pedro López, José Duato, María Engracia Gómez, 2007, 2007 IEEE International Parallel and Distributed Processing Symposium.

Federico Silla, José Duato, Vicente Santonja, 2000, Proceedings International Symposium on Parallel Architectures, Algorithms and Networks. I-SPAN 2000.

Pedro López, José Duato, María Engracia Gómez, 2012, 2012 IEEE 11th International Symposium on Network Computing and Applications.

José Duato, Julio Sahuquillo, Salvador Petit, 2016, Future Gener. Comput. Syst..

Holger Fröning, Javier Prades, Federico Silla, 2015, Parallel Comput..

José Duato, Juan M. Orduña, Pedro Morillo, 2005, IEEE Transactions on Parallel and Distributed Systems.

José Duato, Olav Lysne, Jose Flich, 2008, 2008 International Conference on Complex, Intelligent and Software Intensive Systems.

José Duato, J. Duato, 1994, Proceedings of 1994 International Conference on Parallel and Distributed Systems.

Federico Silla, Enrique S. Quintana-Ortí, Antonio J. Peña, 2009, Euro-Par Workshops.

Antonio Robles, José Duato, Alberto Ros, 2011, 2011 38th Annual International Symposium on Computer Architecture (ISCA).

Antonio Robles, Pedro López, José Duato, 2006, IEEE Transactions on Computers.

Sudhakar Yalamanchili, Federico Silla, José Duato, 2009 .

José Duato, Juan M. Orduña, Jose Flich, 2008, 2008 11th EUROMICRO Conference on Digital System Design Architectures, Methods and Tools.

José Duato, Juan M. Orduña, Pedro Morillo, 2006, Computer Graphics International.

Pedro López, José Duato, Rosa Alcover, 1999, Proceedings of the Seventh Euromicro Workshop on Parallel and Distributed Processing. PDP'99.

José Duato, Francisco J. Andujar, Juan A. Villar, 2014, 2014 IEEE 13th International Symposium on Network Computing and Applications.

Antonio Robles, José Duato, Alberto Ros, 2013, IEEE Transactions on Computers.

José Duato, Jesús Camacho Villanueva, Jose Flich, 2011, 2011 International Conference on Parallel Processing.

José González, José Duato, Manuel E. Acacio, 2004, IEEE Transactions on Parallel and Distributed Systems.

Pedro Cuenca, José Duato, Francisco J. Quiles, 1997, Proceedings Fourth International Conference on High-Performance Computing.

José Duato, Wladek Olesinski, Hans Eberle, 2011, 2011 14th Euromicro Conference on Digital System Design.

Hermann Hellwagner, José Duato, Olav Lysne, 2003, European Conference on Parallel Processing.

José Duato, Jose Flich, Teresa Nachiondo Frinós, 2006, 12th International Conference on Parallel and Distributed Systems - (ICPADS'06).

José Duato, Manuel E. Acacio, Ricardo Fernández Pascual, 2008, 2008 IEEE International Conference on Dependable Systems and Networks With FTCS and DCC (DSN).

José Duato, Julio Sahuquillo, Salvador Petit, 2009, IEEE Trans. Computers.

José Duato, Francisco J. Quiles, Pedro Yébenes, 2014, 2014 21st International Conference on High Performance Computing (HiPC).

José Duato, Francisco J. Andujar, Juan A. Villar, 2012, 2012 20th Euromicro International Conference on Parallel, Distributed and Network-based Processing.

José Duato, Julio Sahuquillo, Salvador Petit, 2012, 2012 IEEE 24th International Symposium on Computer Architecture and High Performance Computing.

Young-Joo Suh, Sudhakar Yalamanchili, José Duato, 2000, IEEE Trans. Parallel Distributed Syst..

Dhabaleswar K. Panda, José Duato, P. Sadayappan, 2000, HiPC.

José Duato, Julio Sahuquillo, Salvador Petit, 2013, ICS '13.

José Duato, Francisco J. Andujar, Juan A. Villar, 2014, The Journal of Supercomputing.

José Duato, Timothy Mark Pinkston, Aurelio Bermúdez, 2004, Parallel Algorithms Appl..

Federico Silla, José Duato, Juan M. Orduña, 2001, Comput. Artif. Intell..

Federico Silla, Adrián Castelló, Enrique S. Quintana-Ortí, 2014 .

José Duato, Salvador Petit, Josué Feliu, 2013, ICCS.

Sudhakar Yalamanchili, José Duato, Francisco J. Quiles, 2001, ICN.

Josep Torrellas, Manuel P. Malumbres, José Duato, 1996, Proceedings of SPDP '96: 8th IEEE Symposium on Parallel and Distributed Processing.

José Duato, Timothy Mark Pinkston, Ruoming Pang, 2000, Proceedings 2000 International Conference on Parallel Processing.

Silvia Rueda, José Duato, Juan M. Orduña, 2007, 2007 IEEE Virtual Reality Conference.

José Duato, Francisco J. Quiles, Jesús Escudero-Sahuquillo, 2011, J. Parallel Distributed Comput..

Pedro López, José Duato, María Engracia Gómez, 2008, Euro-Par.

Federico Silla, José Duato, Juan Manuel Orduña, 2004, J. Syst. Archit..

José Duato, Manuel E. Acacio, Ricardo Fernández Pascual, 2010, IEEE Transactions on Parallel and Distributed Systems.

José Duato, Julio Sahuquillo, Salvador Petit, 2012, 2012 IEEE 18th International Conference on Parallel and Distributed Systems.

Pedro López, José Duato, 1994, Interconnection Networks and Mapping and Scheduling Parallel Computations.

Antonio Robles, José Duato, Alberto Ros, 2010, 2010 International Conference on High Performance Computing.

Pedro López, José Duato, Marina Alonso, 2007, HPCC.

José Duato, Francisco J. Quiles, Jesús Escudero-Sahuquillo, 2011, 2011 International Conference on Parallel Processing.

Federico Silla, José Duato, 2000, IEEE Trans. Parallel Distributed Syst..

José Duato, Timothy Mark Pinkston, Ruoming Pang, 2003, IEEE Trans. Parallel Distributed Syst..

Antonio Robles, José Duato, Blas Cuesta, 2011, IEEE Transactions on Parallel and Distributed Systems.

Pedro López, Sudhakar Yalamanchili, Federico Silla, 1996, Proceedings of the 1996 ICPP Workshop on Challenges for Parallel Processing.

José Duato, Francisco J. Quiles, José L. Sánchez, 2001, IEEE Trans. Parallel Distributed Syst..

Federico Silla, Héctor Montaner, José Duato, 2010, HPDC '10.

José Duato, Julio Sahuquillo, Salvador Petit, 2015, 2015 IEEE International Parallel and Distributed Processing Symposium.

José Duato, Carles Hernandez, Jose Flich, 2021, IEEE Transactions on Computers.

Pedro López, José Duato, María Engracia Gómez, 2008, 16th Euromicro Conference on Parallel, Distributed and Network-Based Processing (PDP 2008).

Dhabaleswar K. Panda, José Duato, Craig B. Stunkel, 2002, IPDPS.

José Duato, Julio Sahuquillo, Salvador Petit, 2010, 2010 IEEE 12th International Conference on High Performance Computing and Communications (HPCC).

Antonio Robles, Pedro López, José Duato, 2005, 19th IEEE International Parallel and Distributed Processing Symposium.

José Duato, Francisco J. Quiles, Pedro Javier García, 2006, Euro-Par.

Sergio Iserte, Javier Prades, Carlos Reaño, 2014, 2014 IEEE 26th International Symposium on Computer Architecture and High Performance Computing.

Antonio Robles, Pedro López, José Duato, 2004, IEEE Computer Architecture Letters.

José A. Gámez, José Duato, Francisco J. Andujar, 2013, J. Parallel Distributed Comput..

Pedro López, José Duato, Julio Sahuquillo, 2008, 2008 IEEE International Symposium on Parallel and Distributed Processing.

Pedro López, José Duato, Julio Sahuquillo, 2015, IEEE Transactions on Computers.

José Duato, Rosa Alcover, Vicente Chirivella, 2001, Euro-Par.

Sudhakar Yalamanchili, José Duato, Binh Vien Dao, 1999, IEEE Trans. Parallel Distributed Syst..

Sudhakar Yalamanchili, José Duato, Francisco J. Quiles, 2002, Proceedings 16th International Parallel and Distributed Processing Symposium.

José Duato, José L. Sánchez, Francisco J. Alfaro, 2006, Fifth IEEE International Symposium on Network Computing and Applications (NCA'06).

Federico Silla, José Duato, Jose Flich, 2010, 2010 International Conference on High Performance Computing.

Sudhakar Yalamanchili, José Duato, Francisco J. Quiles, 2003, Proceedings International Parallel and Distributed Processing Symposium.

José Duato, Jose Flich, Teresa Nachiondo Frinós, 2005, 19th IEEE International Parallel and Distributed Processing Symposium.

Sudhakar Yalamanchili, José Duato, Francisco J. Quiles, 2005, IEEE Transactions on Parallel and Distributed Systems.

Federico Silla, José Duato, Davide Bertozzi, 2010, 2010 Fourth ACM/IEEE International Symposium on Networks-on-Chip.

Antonio Robles, Pedro López, José Duato, 2003, Proceedings International Parallel and Distributed Processing Symposium.

José Duato, Francisco J. Quiles, Pedro Javier García, 2007, Euro-Par.

Antonio Robles, José Duato, Blas Cuesta, 2012, J. Parallel Distributed Comput..

José Duato, Sudhakar Yalamanchili, Patrick T. Gaughan, 1994, Proceedings of 1994 International Conference on Parallel and Distributed Systems.

José Duato, Julio Sahuquillo, Salvador Petit, 2016, IEEE Transactions on Computers.

José Duato, Juan-Miguel Martinez-Rubio, Pedro López, 2003, IEEE Trans. Parallel Distributed Syst..

José González, José Duato, Manuel E. Acacio, 2005, IEEE Transactions on Parallel and Distributed Systems.

José Duato, Francisco J. Quiles, Ian Johnson, 2005, Euro-Par.

Chita R. Das, José Duato, Mazin S. Yousif, 2007, IEEE Transactions on Parallel and Distributed Systems.

Federico Silla, José Duato, Jose Flich, 2012, IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems.

José Duato, Francisco J. Quiles, José L. Sánchez, 1999, CANPC.

Federico Silla, José Duato, Vicente Santonja, 2000, Proceedings IEEE International Conference on Networks 2000 (ICON 2000). Networking Trends and Challenges in the New Millennium.

Antonio Robles, Pedro López, José Duato, 2003, 2003 International Conference on Parallel Processing, 2003. Proceedings..

Antonio Robles, José Duato, Alberto Ros, 2016, ICS.

José Duato, Juan-Miguel Martinez-Rubio, Pedro López, 2001, IEEE Trans. Parallel Distributed Syst..

Antonio Robles, Pedro López, José Duato, 2004, 18th International Parallel and Distributed Processing Symposium, 2004. Proceedings..

Pedro López, José Duato, Julio Sahuquillo, 2013, 2013 Design, Automation & Test in Europe Conference & Exhibition (DATE).

José Duato, Julio Sahuquillo, Salvador Petit, 2017, Future Gener. Comput. Syst..

Antonio Robles, Pedro López, José Duato, 2003, Eleventh Euromicro Conference on Parallel, Distributed and Network-Based Processing, 2003. Proceedings..

José Duato, Jose Flich, Sven-Arne Reinemo, 2006, Proceedings 20th IEEE International Parallel & Distributed Processing Symposium.

Pedro López, Sudhakar Yalamanchili, José Duato, 1997, Proceedings 11th International Parallel Processing Symposium.

Adrián Castelló, Enrique S. Quintana-Ortí, José Duato, 2021, 2021 29th Euromicro International Conference on Parallel, Distributed and Network-Based Processing (PDP).

Adrián Castelló, Enrique S. Quintana-Ortí, José Duato, 2021, 2021 29th Euromicro International Conference on Parallel, Distributed and Network-Based Processing (PDP).

José Duato, José L. Sánchez, Francisco J. Alfaro, 2020, The Journal of Supercomputing.

Adrián Castelló, Enrique S. Quintana-Ortí, José Duato, 2021, Clust. Comput..

José Duato, Alberto Ros, Julio Sahuquillo, 2012, 2012 21st International Conference on Parallel Architectures and Compilation Techniques (PACT).

José Duato, José M. García, J. Duato, 1991, Proceedings of the Third IEEE Symposium on Parallel and Distributed Processing.

Antonio Robles, Pedro López, José Duato, 2006 .

José Duato, Juan A. Villar, José L. Sánchez, 2014, INA-OCMC '14.