Eun Jung Kim

发表

Ki Hwan Yum, Yuho Jin, Eun Jung Kim, 2011, Low Power Networks-on-Chip.

Mazin S. Yousif, Ki Hwan Yum, Manhee Lee, 2006, Sixth IEEE International Symposium on Cluster Computing and the Grid (CCGRID'06).

Mahmut T. Kandemir, Chita R. Das, Narayanan Vijaykrishnan, 2005, IEEE Transactions on Computers.

Rahul Boyapati, Ki Hwan Yum, Jiayi Huang, 2017, 2017 ACM/IEEE 44th Annual International Symposium on Computer Architecture (ISCA).

Eun Jung Kim, Kyung Hoon Kim, Priyank Devpura, 2019, 2019 IEEE International Parallel and Distributed Processing Symposium (IPDPS).

Eun Jung Kim, Inchoon Yeo, 2008, Proceeding of the 13th international symposium on Low power electronics and design (ISLPED '08).

Ki Hwan Yum, Eun Jung Kim, Heung Ki Lee, 2006, 2006 IEEE International Conference on Multimedia and Expo.

Ki Hwan Yum, Eun Jung Kim, Baik Song An, 2011, 2011 International Conference on Parallel Architectures and Compilation Techniques.

Eun Jung Kim, Inchoon Yeo, Eun Jung Kim, 2009, 2009 Design, Automation & Test in Europe Conference & Exhibition.

Rabi N. Mahapatra, Eun Jung Kim, Praveen Bhojwani, 2005, 18th International Conference on VLSI Design held jointly with 4th International Conference on Embedded Systems Design.

Ki Hwan Yum, Yuho Jin, Eun Jung Kim, 2007, 2007 IEEE 13th International Symposium on High Performance Computer Architecture.

Chita R. Das, Ki Hwan Yum, Eun Jung Kim, 2002, IEEE Trans. Parallel Distributed Syst..

Ki Hwan Yum, Eun Jung Kim, Heeyeol Yu, 2006, IEEE Globecom 2006.

Chita R. Das, Ki Hwan Yum, Eun Jung Kim, 2001, Proceedings IEEE International Symposium on Network Computing and Applications. NCA 2001.

Ki Hwan Yum, Yuho Jin, Eun Jung Kim, 2005, 2005 International Conference on Parallel Processing (ICPP'05).

Chita R. Das, José Duato, Mazin S. Yousif, 2003, The Ninth International Symposium on High-Performance Computer Architecture, 2003. HPCA-9 2003. Proceedings..

Ki Hwan Yum, Yuho Jin, Eun Jung Kim, 2010, IEEE Transactions on Computers.

Chita R. Das, Ki Hwan Yum, Eun Jung Kim, 2001, ISCA 2001.

Manhee Lee, Eun Jung Kim, Baik Song An, 2010, J. Parallel Distributed Comput..

Jinchun Kim, Paul Gratz, Ki Hwan Yum, 2015, 2015 52nd ACM/EDAC/IEEE Design Automation Conference (DAC).

Eun Jung Kim, Minseon Ahn, Eun Jung Kim, 2010, 2010 43rd Annual IEEE/ACM International Symposium on Microarchitecture.

Jiayi Huang, Eun Jung Kim, Pritam Majumder, 2020, 2020 IEEE Secure Development (SecDev).

Ki Hwan Yum, Eun Jung Kim, Heung Ki Lee, 2006, 2006 International Conference on Parallel Processing (ICPP'06).

Chita R. Das, Ki Hwan Yum, Eun Jung Kim, 2005, Perform. Evaluation.

Eun Jung Kim, Heung Ki Lee, Gopinath Vageesan, 2005, IEEE International Conference on Web Services (ICWS'05).

Eun Jung Kim, Inchoon Yeo, Chih Chun Liu, 2008, 2008 45th ACM/IEEE Design Automation Conference.

Wen Yuan, Rahul Boyapati, Ki Hwan Yum, 2015, 2015 International Symposium on Computer Architecture and High Performance Computing Workshop (SBAC-PADW).

Eun Jung Kim, GyeongAe Seomun, Eun Jung Kim, 2020, Research and Theory for Nursing Practice.

Chita R. Das, José Duato, Mazin S. Yousif, 2002, Proceedings. IEEE International Conference on Cluster Computing.

Cheol Won Lee, Manhee Lee, Eun Jung Kim, 2004, Workshops on Mobile and Wireless Networking/High Performance Scientific, Engineering Computing/Network Design and Architecture/Optical Networks Control and Management/Ad Hoc and Sensor Networks/Compil.

Kyung Hoon Kim, Rahul Boyapati, Ningyuan Wang, 2017, 2017 IEEE International Parallel and Distributed Processing Symposium (IPDPS).

Kyung Hoon Kim, Rahul Boyapati, Ningyuan Wang, 2016, 2016 International Conference on Parallel Architecture and Compilation Techniques (PACT).

Hyungjun Kim, Lei Wang, Yuho Jin, 2009, 2009 3rd ACM/IEEE International Symposium on Networks-on-Chip.

Manhee Lee, Eun Jung Kim, Minseon Ahn, 2011, IEEE Transactions on Parallel and Distributed Systems.

Ki Hwan Yum, Eun Jung Kim, Hyunjun Jang, 2012, 2012 IEEE/ACM Sixth International Symposium on Networks-on-Chip.

Ki Hwan Yum, Eun Jung Kim, Inchoon Yeo, 2007, 2007 25th International Conference on Computer Design.

Chita R. Das, Ki Hwan Yum, Yuho Jin, 2010, J. Parallel Distributed Comput..

Manhee Lee, Eun Jung Kim, Eun Jung Kim, 2007, IEEE Transactions on Parallel and Distributed Systems.

Eun Jung Kim, Heung Ki Lee, Baik Song An, 2009, 2009 IEEE International Conference on Web Services.

Ki Hwan Yum, Lei Wang, Eun Jung Kim, 2012, 2012 21st International Conference on Parallel Architectures and Compilation Techniques (PACT).

Kyung Hoon Kim, Rahul Boyapati, Ki Hwan Yum, 2017, ICS.

Chita R. Das, Ki Hwan Yum, Eun Jung Kim, 2001, MMB.

Ki Hwan Yum, Eun Jung Kim, Heung Ki Lee, 2006, ICME.

Ki Hwan Yum, Manhee Lee, Eun Jung Kim, 2012, 2012 Data Compression Conference.

Ki Hwan Yum, Eun Jung Kim, Heung Ki Lee, 2007, 2007 IEEE International Conference on Image Processing.

Eun Jung Kim, 2018, NOCS.

Rahul Boyapati, Ki Hwan Yum, Jiayi Huang, 2019, 2019 IEEE International Symposium on High Performance Computer Architecture (HPCA).

Rahul Boyapati, Ki Hwan Yum, Lei Wang, 2010, 2010 ACM/IEEE Symposium on Architectures for Networking and Communications Systems (ANCS).

Ki Hwan Yum, Eun Jung Kim, Hogil Kim, 2007, 2007 Third International Conference on Wireless and Mobile Communications (ICWMC'07).

Manhee Lee, Eun Jung Kim, Minseon Ahn, 2007, 16th International Conference on Parallel Architecture and Compilation Techniques (PACT 2007).

Mahmut T. Kandemir, Chita R. Das, Narayanan Vijaykrishnan, 2003, ISLPED '03.

Mazin S. Yousif, Manhee Lee, Eun Jung Kim, 2005, 19th IEEE International Parallel and Distributed Processing Symposium.

Ki Hwan Yum, Eun Jung Kim, Heeyeol Yu, 2009, Int. J. Sens. Networks.

Rabi N. Mahapatra, Eun Jung Kim, Dharanidhar Dang, 2015, 2015 33rd IEEE International Conference on Computer Design (ICCD).

Chita R. Das, José Duato, Mazin S. Yousif, 2007, IEEE Transactions on Parallel and Distributed Systems.

Ki Hwan Yum, Lei Wang, Eun Jung Kim, 2013, 2013 IEEE 27th International Symposium on Parallel and Distributed Processing.

Ki Hwan Yum, Yuho Jin, Eun Jung Kim, 2008, 2008 41st IEEE/ACM International Symposium on Microarchitecture.

Timothy Mark Pinkston, Yuho Jin, Eun Jung Kim, 2012, IEEE Transactions on Parallel and Distributed Systems.