P. Kudva

发表

Kumpati S. Narendra, Prabhakar Kudva, K. Narendra, 1974, IEEE Trans. Syst. Man Cybern..

K. Narendra, P. Kudva, S. Tripathi, 1971 .

Prabhakar Kudva, John Schumann, Pia N. Sanda, 2007 .

Kumpati S. Narendra, Prabhakar Kudva, K. Narendra, 1974, IEEE Trans. Syst. Man Cybern..

Prabhakar Kudva, Jackson C. S. Yang, Tzung-Cheng Yang, 1992, IEEE Trans. Syst. Man Cybern..

J. C. Yang, P. Kudva, T. Yang, 1987 .

P. Kudva, N. Viswanadham, A. Ramakrishna, 1980 .

Yiu-Hing Chan, P. Kudva, P. Kudva, 2003, Proceedings 2003. Design Automation Conference (IEEE Cat. No.03CH37451).

P. Kudva, W. Dougherty, A. Sullivan, 2003 .

P. Kudva, H. Jacobson, G. Gopalakrishnan, 1996, 33rd Design Automation Conference Proceedings, 1996.

Ganesh Gopalakrishnan, Erik Brunvand, Prabhakar Kudva, 2000, Proceedings Sixth International Symposium on Advanced Research in Asynchronous Circuits and Systems (ASYNC 2000) (Cat. No. PR00586).

P. Kudva, G. Gopalakrishnan, P. Kudva, 1996, 33rd Design Automation Conference Proceedings, 1996.

Prabhakar Kudva, Victor N. Kravets, P. Kudva, 2003, SLIP '03.

Leon Stok, Prabhakar Kudva, Ruchir Puri, 2000, Integr..

Ibrahim M. Elfadel, Rupesh Raj Karn, Prabhakar Kudva, 2021, IEEE Transactions on Parallel and Distributed Systems.

Ganesh Gopalakrishnan, Erik Brunvand, Venkatesh Akella, 1994, Proceedings 1994 IEEE International Conference on Computer Design: VLSI in Computers and Processors.

Dilma Da Silva, Jiang Hu, Prabhakar Kudva, 2018, 2018 IEEE 11th International Conference on Cloud Computing (CLOUD).

Prabhakar Kudva, Rupesh Raj Karn, Ibrahim (Abe) M. Elfadel, 2019, IEEE Transactions on Parallel and Distributed Systems.

Donna N. Dillenberger, Matthew H Tong, Venkat K. Balagurusamy, 2019, NanoScience + Engineering.

Prabhakar Kudva, Ibrahim Elfadel, Rupesh Karn, 2019, 2019 IEEE International Conference on Cognitive Computing (ICCC).

Prabhakar Kudva, Shiri Moran, Eli Arbel, 2014, 2014 IEEE/ACM International Conference on Computer-Aided Design (ICCAD).

Pradip Bose, Prabhakar Kudva, Hans M. Jacobson, 2019, 2019 49th Annual IEEE/IFIP International Conference on Dependable Systems and Networks – Supplemental Volume (DSN-S).

Meeta Sharma Gupta, Pradip Bose, Jude A. Rivers, 2011, IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems.

Pia Sanda, Prabhakar Kudva, John Schumann, 2008, 2008 IEEE International Conference on Dependable Systems and Networks With FTCS and DCC (DSN).

Pradip Bose, Ethan H. Cannon, Jude A. Rivers, 2008, IBM J. Res. Dev..

Prabhakar Kudva, Ronald N. Kalla, John Schumann, 2008, IBM J. Res. Dev..

R. I. Bahar, George Z. N. Cai, P. Kudva, 2005 .