K. Olukotun

发表

Kunle Olukotun, Christopher Ré, Jian Zhang, 2017 .

Kunle Olukotun, Christopher Ré, Jian Zhang, 2018, ACM SIGOPS Oper. Syst. Rev..

Kunle Olukotun, Christopher De Sa, Christopher Ré, 2017, 2017 ACM/IEEE 44th Annual International Symposium on Computer Architecture (ISCA).

Kunle Olukotun, Gary R. Bradski, Andrew Y. Ng, 2006, NIPS.

Christopher De Sa, Christopher Ré, Kunle Olukotun, 2014, ICML.

K. Olukotun, C. Kozyrakis, L. Hammond, 2004 .

Christopher De Sa, Michael I. Jordan, Phillip B. Gibbons, 2019, 1904.03257.

Kunle Olukotun, Martin Odersky, Tiark Rompf, 2011, ICML.

Christopher De Sa, Christopher R. Aberger, Jian Zhang, 2018 .

Kunle Olukotun, Monica S. Lam, Robert S. French, 1995, 32nd Design Automation Conference.

Kunle Olukotun, Lance Hammond, Tadaaki Yamauchi, 1997, Proceedings Seventeenth Conference on Advanced Research in VLSI.

Kunle Olukotun, Hassan Chafi, Kevin J. Brown, 2011, PPoPP '11.

Kunle Olukotun, Christina Delimitrou, Yaqi Zhang, 2016, 2016 ACM/IEEE 43rd Annual International Symposium on Computer Architecture (ISCA).

Kunle Olukotun, Sang Kyun Kim, Peter Leonard McMahon, 2009, 2009 International Conference on Field Programmable Logic and Applications.

Kunle Olukotun, Luigi Nardi, David Koeplinger, 2018, 2019 IEEE 27th International Symposium on Modeling, Analysis, and Simulation of Computer and Telecommunication Systems (MASCOTS).

Kunle Olukotun, Luigi Nardi, David Koeplinger, 2019, 2019 IEEE 27th International Symposium on Modeling, Analysis, and Simulation of Computer and Telecommunication Systems (MASCOTS).

Kunle Olukotun, Christopher Ré, Andrew Lamb, 2018, 2018 IEEE 34th International Conference on Data Engineering (ICDE).

Kunle Olukotun, Sebastian Thrun, Gary R. Bradski, 2005, NIPS.

Kunle Olukotun, Kurt Keutzer, Pat Hanrahan, 2010, IEEE Micro.

Kunle Olukotun, John D. Davis, James Laudon, 2005, 14th International Conference on Parallel Architectures and Compilation Techniques (PACT'05).

Kunle Olukotun, Christoforos E. Kozyrakis, Michael K. Chen, 2004, Proceedings. 31st Annual International Symposium on Computer Architecture, 2004..

Kunle Olukotun, Martin Odersky, Tiark Rompf, 2014, ACM Trans. Embed. Comput. Syst..

Kunle Olukotun, Christopher De Sa, Christopher Ré, 2015, NIPS.

Kunle Olukotun, Franz Franchetti, Jan M. Rabaey, 2015, Computer.

Kunle Olukotun, Christopher Ré, Peter Bailis, 2017, ArXiv.

Kunle Olukotun, Lance Hammond, Hassan Chafi, 2005 .

Kunle Olukotun, Christoforos E. Kozyrakis, Hassan Chafi, 2007, IEEE Micro.

Kunle Olukotun, Christoforos E. Kozyrakis, Lance Hammond, 2005, ICS '05.

Kunle Olukotun, Yaqi Zhang, Raghu Prabhakar, 2019, 2019 ACM/IEEE 46th Annual International Symposium on Computer Architecture (ISCA).

Kunle Olukotun, Kenneth M. Wilson, K. Olukotun, 2001, IEEE Trans. Computers.

Kunle Olukotun, Michael Chen, K. Olukotun, 2003, International Symposium on Code Generation and Optimization, 2003. CGO 2003..

Kunle Olukotun, Christoforos E. Kozyrakis, Hassan Chafi, 2006, The Twelfth International Symposium on High-Performance Computer Architecture, 2006..

Kunle Olukotun, Manohar K. Prabhu, K. Olukotun, 2005, PPoPP.

Kunle Olukotun, Christoforos E. Kozyrakis, Michael Carbin, 2007, PPOPP.

Ayman I. Kayssi, Kunle Olukotun, Trevor N. Mudge, 1991, Computer.

Kunle Olukotun, Trevor N. Mudge, Karem A. Sakallah, 1990, DAC '90.

Kunle Olukotun, Sungpack Hong, Nicole C. Rodia, 2013, 2013 SC - International Conference for High Performance Computing, Networking, Storage and Analysis (SC).

Kunle Olukotun, Jennifer Widom, Semih Salihoglu, 2014, CGO '14.

Kunle Olukotun, Jeremy R. Levitt, K. Olukotun, 1996, 33rd Design Automation Conference Proceedings, 1996.

Kunle Olukotun, Rachid Helaihel, K. Olukotun, 1996 .

Kunle Olukotun, Jared Casper, J. Casper, 2014, FPGA.

K. Olukotun, M. Shahbaz, Alexander Rucker, 2020, ASPLOS.

Leonardo B. Oliveira, Artur L. F. Souza, F. Hutter, 2020, ArXiv.

Kunle Olukotun, Eiji Iwata, K. Olukotun, 1998 .

Kunle Olukotun, Christopher De Sa, Tiark Rompf, 2016, 2016 IEEE/ACM International Symposium on Code Generation and Optimization (CGO).

Luigi Nardi, K. Olukotun, D. Koeplinger, 2019 .

Kunle Olukotun, K. Olukotun, 2021, CIDR.

J. Ullman, K. Olukotun, R. Prabhakar, 2019, TPCTC.

K. Olukotun, K. Sakallah, T. Mudge, 1990, 27th ACM/IEEE Design Automation Conference.

Kunle Olukotun, Kenneth M. Wilson, Mendel Rosenblum, 1996, 23rd Annual International Symposium on Computer Architecture (ISCA'96).

Kunle Olukotun, Christina Delimitrou, Christoforos E. Kozyrakis, 2016, 2016 ACM/IEEE 43rd Annual International Symposium on Computer Architecture (ISCA).

K. Olukotun, M. Willey, Lance Hammond, 2022 .

K. Olukotun, Michael K. Chen, 2003, 30th Annual International Symposium on Computer Architecture, 2003. Proceedings..

Benedict A. Hubbert, Michael Siu, K. Olukotun, 2007 .

Kevin J. Brown, Arvind K. Sujeeth, K. Olukotun, 2014, ACM Transactions on Embedded Computing Systems.

Luigi Nardi, K. Olukotun, Fredrik Kjolstad, 2022, ArXiv.

K. Olukotun, J. Emer, Fredrik Kjolstad, 2022, ArXiv.

Kunle Olukotun, Hassan Chafi, Nathan Bronson, 2010 .

Kunle Olukotun, Christoforos E. Kozyrakis, Nathan Grasso Bronson, 2009, POPL '09.

Kunle Olukotun, Leonidas J. Guibas, Sebastian Thrun, 2006, Robotics: Science and Systems.

Kunle Olukotun, Christoforos E. Kozyrakis, JaeWoong Chung, 2008, 2008 IEEE International Symposium on Workload Characterization.

Alexander Rucker, Kunle Olukotun, Muhammad Shahbaz, 2020, ArXiv.

Kunle Olukotun, Lance Hammond, K. Olukotun, 2005, ACM Queue.

Christopher De Sa, Christopher Ré, Kunle Olukotun, 2016, ICML.

Kunle Olukotun, Trevor N. Mudge, K. Olukotun, 1990, J. Parallel Distributed Comput..

K. Olukotun, Rachid Helaihel, 1997, 1997 Proceedings of IEEE International Conference on Computer Aided Design (ICCAD).

Kunle Olukotun, Rachid Helaihel, K. Olukotun, 1997 .

Kunle Olukotun, Sungpack Hong, Hassan Chafi, 2012, ASPLOS XVII.

Kunle Olukotun, Sungpack Hong, Tayo Oguntebi, 2011, 2011 International Conference on Parallel Architectures and Compilation Techniques.

Kunle Olukotun, Marius Lindauer, Frank Hutter, 2021, ECML/PKDD.

Kunle Olukotun, Christoforos E. Kozyrakis, Woongki Baek, 2007, 16th International Conference on Parallel Architecture and Compilation Techniques (PACT 2007).

Kunle Olukotun, Christoforos E. Kozyrakis, Yaqi Zhang, 2017, 2017 ACM/IEEE 44th Annual International Symposium on Computer Architecture (ISCA).

K. Olukotun, J. Emer, M. Horowitz, 2022, ASPLOS.

Tian Zhao, K. Olukotun, R. Prabhakar, 2021, MICRO.

Kunle Olukotun, K. Olukotun, 2020 .

Yaqi Zhang, Kunle Olukotun, Raghu Prabhakar, 2020, The Frontiers Collection.

Kunle Olukotun, Yaqi Zhang, Muhammad Shahbaz, 2021, 2021 ACM/IEEE 48th Annual International Symposium on Computer Architecture (ISCA).

Kunle Olukotun, Saman Amarasinghe, Saman P. Amarasinghe, 2021, Proc. ACM Program. Lang..

Kunle Olukotun, Alexander Rucker, Matthew Vilim, 2021, 2021 ACM/IEEE 48th Annual International Symposium on Computer Architecture (ISCA).

Yaqi Zhang, Alexander Rucker, Matthew Vilim, 2020, 2020 ACM/IEEE 47th Annual International Symposium on Computer Architecture (ISCA).

Kunle Olukotun, Christoforos E. Kozyrakis, Yaqi Zhang, 2018, PLDI.

Tian Zhao, K. Olukotun, Matthew Feldman, 2017 .

Kunle Olukotun, Christoforos E. Kozyrakis, Christopher De Sa, 2015, ASPLOS.

Kunle Olukotun, Martin Odersky, Tiark Rompf, 2011, 2011 International Conference on Parallel Architectures and Compilation Techniques.

Kunle Olukotun, Takashi Miyamori, K. Olukotun, 1999 .

K. Olukotun, M. Heinrich, D. Ofelt, 1998, Proceedings 1998 Design and Automation Conference. 35th DAC. (Cat. No.98CH36175).

Kunle Olukotun, Takashi Miyamori, K. Olukotun, 1998, Proceedings. IEEE Symposium on FPGAs for Custom Computing Machines (Cat. No.98TB100251).

Kunle Olukotun, Trevor N. Mudge, Richard B. Brown, 1997, IEEE Trans. Computers.

Kunle Olukotun, Basem A. Nayfeh, Andrew Erlichson, 1995, Proceedings of the IEEE/ACM SC95 Conference.

Kunle Olukotun, Jeremy R. Levitt, Ricardo Ramírez, 1994, IEEE Micro.

Kunle Olukotun, Basem A. Nayfeh, K. Olukotun, 1994, Proceedings of 21 International Symposium on Computer Architecture.

Vipin Kumar, David A. Padua, Per Stenström, 2011, IPDPS.

Kunle Olukotun, Christoforos E. Kozyrakis, Hassan Chafi, 2006, ASPLOS XII.

Kunle Olukotun, Christoforos E. Kozyrakis, Hassan Chafi, 2006, PLDI '06.

Kunle Olukotun, Christoforos E. Kozyrakis, Michael K. Chen, 2004, ASPLOS XI.

Tian Zhao, K. Olukotun, Alexander Rucker, 2023, ASPLOS.

Kunle Olukotun, Christopher De Sa, Christopher Ré, 2018, ArXiv.

Kunle Olukotun, Christopher De Sa, Christopher Ré, 2015, NIPS.

Kunle Olukotun, Christoforos E. Kozyrakis, Hassan Chafi, 2007, 2007 IEEE 13th International Symposium on High Performance Computer Architecture.

Trevor Mudge, Kunle Olukotun, Krisztian Flautner, 2005 .

Kunle Olukotun, Kathirgamar Aingaran, Poonacha Kongetira, 2005, IEEE Micro.

Kunle Olukotun, Basem A. Nayfeh, Lance Hammond, 1996, ASPLOS VII.

Kunle Olukotun, Christopher Ré, Christopher R. Aberger, 2015, ACM Trans. Database Syst..

Christopher R. Aberger, K. Olukotun, C. Ré, 2017, TODS.

Kunle Olukotun, Victor J. Lam, K. Olukotun, 1998, Proceedings International Conference on Computer Design. VLSI in Computers and Processors (Cat. No.98CB36273).

Kunle Olukotun, Christoforos E. Kozyrakis, Lance Hammond, 2005, 14th International Conference on Parallel Architectures and Compilation Techniques (PACT'05).

K. Olukotun, Fredrik Kjolstad, Olivia Hsu, 2023, Proc. ACM Program. Lang..

Kunle Olukotun, Christoforos E. Kozyrakis, Woongki Baek, 2010, 2010 15th IEEE International Conference on Engineering of Complex Computer Systems.

Kunle Olukotun, Sungpack Hong, Sang Kyun Kim, 2011, PPoPP '11.

Kunle Olukotun, Martin Odersky, Tiark Rompf, 2011, DSL.

Kunle Olukotun, Martin Odersky, Pat Hanrahan, 2010, OOPSLA.

Kunle Olukotun, H. Peter Hofstee, Stephen W. Keckler, 2009, Integrated Circuits and Systems.

Trevor N. Mudge, Karem A. Sakallah, Kunle Olukotun, 1990, 1990 IEEE International Conference on Computer-Aided Design. Digest of Technical Papers.

Kunle Olukotun, Michael K. Chen, K. Olukotun, 1998, Proceedings. 1998 International Conference on Parallel Architectures and Compilation Techniques (Cat. No.98EX192).

Kunle Olukotun, Lance Hammond, Mark Willey, 1998, ASPLOS VIII.

Kunle Olukotun, Muhammad Shahbaz, Luigi Nardi, 2019, 2019 IEEE 39th International Conference on Distributed Computing Systems (ICDCS).

Kunle Olukotun, Tiark Rompf, Kevin J. Brown, 2014, 2014 47th Annual IEEE/ACM International Symposium on Microarchitecture.

Kunle Olukotun, Ben Hertzberg, K. Olukotun, 2011, International Symposium on Code Generation and Optimization (CGO 2011).

Kunle Olukotun, Manohar K. Prabhu, K. Olukotun, 2003, PPoPP '03.

Kunle Olukotun, Christopher Ré, Andres Nötzli, 2015, ArXiv.

Kunle Olukotun, Martin Odersky, Vojin Jovanovic, 2013, ECOOP.

Kunle Olukotun, Christopher Ré, Andrew Lamb, 2017, ArXiv.

Kunle Olukotun, Tayo Oguntebi, K. Olukotun, 2016, FPGA.

Kunle Olukotun, Hassan Chafi, Jared Casper, 2010, PPoPP '10.

Christopher De Sa, Michael I. Jordan, Phillip B. Gibbons, 2019, 1904.03257.

K. Olukotun, Fredrik Kjolstad, R. Prabhakar, 2023, ArXiv.

K. Olukotun, M. Shahbaz, Alexander Rucker, 2019, APNet.

Tian Zhao, Luigi Nardi, K. Olukotun, 2018, PLDI.

Kenneth M. Wilson, K. Olukotun, M. Rosenblum, 1996, 23rd Annual International Symposium on Computer Architecture (ISCA'96).

K. Olukotun, L. Hammond, B.A. Nayfeh, 1996, 23rd Annual International Symposium on Computer Architecture (ISCA'96).

Basem A. Nayfeh, Jaswinder Pal Singh, Kunle Olukotun, 1996, Proceedings. Second International Symposium on High-Performance Computer Architecture.

Kunle Olukotun, Michael K. Chen, Lance Hammond, 2000, IEEE Micro.

Kunle Olukotun, Michael K. Chen, K. Olukotun, 2003, IEEE Micro.

Kunle Olukotun, Jeremy R. Levitt, K. Olukotun, 1997, 1997 Proceedings of IEEE International Conference on Computer Aided Design (ICCAD).

Kunle Olukotun, Basem A. Nayfeh, Lance Hammond, 1997, Computer.

Kenneth M. Wilson, K. Olukotun, K.M. Wilson, 1997, Conference Proceedings. The 24th Annual International Symposium on Computer Architecture.

Kunle Olukotun, Basem A. Nayfeh, Jules Bergmann, 1994 .

Trevor Mudge, Kunle Olukotun, Richard Brown, 1992, ISCA '92.

O. A. Olukotun, T. N. Mudge, R. B. Brown, 1991, [1991] Proceedings. The 18th Annual International Symposium on Computer Architecture.

Kunle Olukotun, Sang Kyun Kim, Peter Leonard McMahon, 2010, 2010 18th IEEE Annual International Symposium on Field-Programmable Custom Computing Machines.

K. Olukotun, M. Horowitz, Fredrik Kjolstad, 2023 .

Kunle Olukotun, Tiark Rompf, Hassan Chafi, 2014, PLDI.

K. Olukotun, M. Willey, Lance Hammond, 1999, International Conference on Supercomputing.

Kunle Olukotun, Stefan Hadjis, K. Olukotun, 2019, 2019 29th International Conference on Field Programmable Logic and Applications (FPL).

Tian Zhao, Luigi Nardi, K. Olukotun, 2018, PLDI.

Kunle Olukotun, Christopher De Sa, Raghu Prabhakar, 2015, International Conference on Architectural Support for Programming Languages and Operating Systems.

Kunle Olukotun, Christoforos E. Kozyrakis, Yaqi Zhang, 2018, IEEE Micro.

Kunle Olukotun, Martin Odersky, David Novo, 2014, 2014 24th International Conference on Field Programmable Logic and Applications (FPL).

Kevin J. Brown, Arvind K. Sujeeth, K. Olukotun, 2013 .

Rajesh Gupta, Kurt Keutzer, I. Bolsens, 2001 .

K. Olukotun, S. Borkar, Lance Hammond, 2005, ACM Queue.

Christopher De Sa, K. Olukotun, Christopher Ré, 2014, ICML.

Kunle Olukotun, Christoforos E. Kozyrakis, Sungpack Hong, 2010, IEEE International Symposium on Workload Characterization (IISWC'10).

Kevin J. Brown, Arvind K. Sujeeth, K. Olukotun, 2011, DSL.