Gu-Yeon Wei

发表

Gu-Yeon Wei, David M. Brooks, Robert Adolf, 2016, 2016 IEEE International Symposium on Workload Characterization (IISWC).

Gu-Yeon Wei, José Miguel Hernández-Lobato, Sae Kyu Lee, 2016, 2016 ACM/IEEE 43rd Annual International Symposium on Computer Architecture (ISCA).

David Patterson, Carole-Jean Wu, Gu-Yeon Wei, 2019, MLSys.

Gu-Yeon Wei, T.R.F. Fulford-Jones, M. Welsh, 2004, The 26th Annual International Conference of the IEEE Engineering in Medicine and Biology Society.

Brandon Reagen, Gu-Yeon Wei, Udit Gupta, 2018 .

Gu-Yeon Wei, David M. Brooks, Tipp Moseley, 2015, 2015 ACM/IEEE 42nd Annual International Symposium on Computer Architecture (ISCA).

Gu-Yeon Wei, David M. Brooks, José Miguel Hernández-Lobato, 2016, 2016 ACM/IEEE 43rd Annual International Symposium on Computer Architecture (ISCA).

Gu-Yeon Wei, D. Brooks, V. Srinivasan, 2015 .

Gu-Yeon Wei, M. Horowitz, R. Ho, 1998 .

Meeta Sharma Gupta, Michael D. Smith, Gu-Yeon Wei, 2010, TACO.

Gu-Yeon Wei, Simone Campanoni, David Brooks, 2015 .

Un-Ku Moon, Gu-Yeon Wei, P.K. Hanumolu, 2006, 2006 Symposium on VLSI Circuits, 2006. Digest of Technical Papers..

Gu-Yeon Wei, David Brooks, Gu-Yeon Wei, 2017 .

Gu-Yeon Wei, D. Brooks, Abdulrahman Mahmoud, 2022, 2022 52nd Annual IEEE/IFIP International Conference on Dependable Systems and Networks (DSN).

Sabrina M. Neuman, Gu-Yeon Wei, D. Brooks, 2022, 2022 55th IEEE/ACM International Symposium on Microarchitecture (MICRO).

Gu-Yeon Wei, David M. Brooks, Robert Adolf, 2017, Synthesis Lectures on Computer Architecture.

Carole-Jean Wu, Gu-Yeon Wei, Hsien-Hsin S. Lee, 2020, 2020 ACM/IEEE 47th Annual International Symposium on Computer Architecture (ISCA).

Rob A. Rutenbar, Gu-Yeon Wei, David Brooks, 2019, 2019 IEEE 27th Annual International Symposium on Field-Programmable Custom Computing Machines (FCCM).

Robert J. Wood, Gu-Yeon Wei, Michael Karpelson, 2010, 2010 IEEE/RSJ International Conference on Intelligent Robots and Systems.

Robert J. Wood, Gu-Yeon Wei, Michael Karpelson, 2009 .

Radhika Nagpal, Gu-Yeon Wei, Robert Wood, 2013, Scientific American.

Robert J. Wood, Gu-Yeon Wei, Michael Karpelson, 2008, 2008 IEEE International Conference on Robotics and Automation.

Jaeha Kim, Mark Horowitz, Gu-Yeon Wei, 2003, IEEE Trans. Circuits Syst. II Express Briefs.

Alexander M. Rush, Glenn G. Ko, Gu-Yeon Wei, 2023, IEEE Journal of Solid-State Circuits.

Gu-Yeon Wei, Yu Wang, David Brooks, 2019, ArXiv.

Carole-Jean Wu, Gu-Yeon Wei, David Brooks, 2020, 2020 IEEE International Symposium on Workload Characterization (IISWC).

Gu-Yeon Wei, Yuan Yao, David Brooks, 2019, ACM Trans. Archit. Code Optim..

Alexander M. Rush, Gu-Yeon Wei, David Brooks, 2019, 2019 28th International Conference on Parallel Architectures and Compilation Techniques (PACT).

Gu-Yeon Wei, Paul N. Whatmough, Sae Kyu Lee, 2018, IEEE Journal of Solid-State Circuits.

Gu-Yeon Wei, David M. Brooks, Sae Kyu Lee, 2017, 2017 51st Asilomar Conference on Signals, Systems, and Computers.

Gu-Yeon Wei, David M. Brooks, Sae Kyu Lee, 2017, 2017 IEEE International Solid-State Circuits Conference (ISSCC).

Gu-Yeon Wei, David M. Brooks, Vijayalakshmi Srinivasan, 2016, 2016 49th Annual IEEE/ACM International Symposium on Microarchitecture (MICRO).

Gu-Yeon Wei, David M. Brooks, Brandon Reagen, 2014, 2014 ACM/IEEE 41st International Symposium on Computer Architecture (ISCA).

Carole-Jean Wu, Gu-Yeon Wei, David A. Patterson, 2020, IEEE Micro.

Meeta Sharma Gupta, Gu-Yeon Wei, David M. Brooks, 2007, 2007 Design, Automation & Test in Europe Conference & Exhibition.

Gu-Yeon Wei, Sae Kyu Lee, Brandon Reagen, 2018, 2018 55th ACM/ESDA/IEEE Design Automation Conference (DAC).

Alexander Rush, Alexander M. Rush, Gu-Yeon Wei, 2020, 2020 57th ACM/IEEE Design Automation Conference (DAC).

Carole-Jean Wu, Gu-Yeon Wei, Young Geun Kim, 2020, 2021 IEEE International Symposium on High-Performance Computer Architecture (HPCA).

Alexander M. Rush, Gu-Yeon Wei, D. Brooks, 2020, ArXiv.

Gu-Yeon Wei, David Brooks, Krishna K. Rangan, 2009, ISCA '09.

Robert J. Wood, Gu-Yeon Wei, David M. Brooks, 2015, 2015 IEEE Custom Integrated Circuits Conference (CICC).

Robert J. Wood, Gu-Yeon Wei, John P. Whitney, 2011, 2011 Twenty-Sixth Annual IEEE Applied Power Electronics Conference and Exposition (APEC).

Robert J. Wood, Gu-Yeon Wei, Michael Karpelson, 2009 .

Jonathan J. Cruz, Gu-Yeon Wei, D. Brooks, 2022, 2022 Design, Automation & Test in Europe Conference & Exhibition (DATE).

Gu-Yeon Wei, David Brooks, Mark Hempstead, 2021, 2022 IEEE International Symposium on High-Performance Computer Architecture (HPCA).

Gu-Yeon Wei, David M. Brooks, Robert Adolf, 2014, 2014 IEEE International Symposium on Workload Characterization (IISWC).

Gu-Yeon Wei, David M. Brooks, Paul N. Whatmough, 2017, 2017 IEEE/ACM International Symposium on Low Power Electronics and Design (ISLPED).

Cody A. Coleman, D. Narayanan, Daniel Kang, 2019, MLSys.

David Brooks, Gu-Yeon Wei, Yuhao Zhu, 2019, 2019 IEEE International Symposium on Performance Analysis of Systems and Software (ISPASS).

Gu-Yeon Wei, David M. Brooks, Simone Campanoni, 2014, 2014 ACM/IEEE 41st International Symposium on Computer Architecture (ISCA).

Un-Ku Moon, Gu-Yeon Wei, P.K. Hanumolu, 2008, IEEE Journal of Solid-State Circuits.

Meeta Sharma Gupta, Gu-Yeon Wei, David M. Brooks, 2008, 2008 IEEE 14th International Symposium on High Performance Computer Architecture.

Eric Cheng, Rajiv V. Joshi, Arun Paidimarri, 2017, 2017 IEEE International Conference on Computer Design (ICCD).

Carole-Jean Wu, Gu-Yeon Wei, David Brooks, 2021, ASPLOS.

Gu-Yeon Wei, David M. Brooks, Ramon Canal, 2007, 40th Annual IEEE/ACM International Symposium on Microarchitecture (MICRO 2007).

Carole-Jean Wu, Gu-Yeon Wei, David Brooks, 2021, International Conference on Architectural Support for Programming Languages and Operating Systems.

Meeta Sharma Gupta, Gu-Yeon Wei, David M. Brooks, 2009, 2009 Design, Automation & Test in Europe Conference & Exhibition.

Meeta Sharma Gupta, Michael D. Smith, Gu-Yeon Wei, 2009, 2009 IEEE 15th International Symposium on High Performance Computer Architecture.

Meeta Sharma Gupta, Krishna K. Rangan, Michael D. Smith, 2008, 2008 IEEE 14th International Symposium on High Performance Computer Architecture.

Gu-Yeon Wei, David M. Brooks, Xiaoyao Liang, 2008, 2008 International Symposium on Computer Architecture.

Hsien-Hsin S. Lee, Brandon Reagen, Wooseok Choi, 2020, 2021 IEEE International Symposium on High-Performance Computer Architecture (HPCA).

Gu-Yeon Wei, David M. Brooks, José Miguel Hernández-Lobato, 2020, ISLPED.

Gu-Yeon Wei, David M. Brooks, Yuan Yao, 2019, IEEE Computer Architecture Letters.

Gu-Yeon Wei, David M. Brooks, Michael J. Lyons, 2012, TACO.

Kenneth E. Shepard, Gu-Yeon Wei, D. Brooks, 2022, ESSCIRC 2022- IEEE 48th European Solid State Circuits Conference (ESSCIRC).

Gu-Yeon Wei, David M. Brooks, Pradip Bose, 2015, 2015 IEEE 21st International Symposium on High Performance Computer Architecture (HPCA).

Gu-Yeon Wei, David M. Brooks, Kim M. Hazelwood, 2014, 2014 IEEE International Symposium on Workload Characterization (IISWC).

Gu-Yeon Wei, David M. Brooks, Svilen Kanev, 2017, ASPLOS.

David Brooks, Gu-Yeon Wei, Brandon Reagen, 2018, Design Automation Conference.

Gu-Yeon Wei, Qiuwen Lou, Michael Niemier, 2021, 2021 IEEE/ACM International Symposium on Low Power Electronics and Design (ISLPED).

Gu-Yeon Wei, David Brooks, Lillian Pentecost, 2019, IEEE Micro.

Gu-Yeon Wei, D. Brooks, Lillian Pentecost, 2020 .

Pavan Kumar Hanumolu, Gu-Yeon Wei, Un-Ku Moon, 2003, IEEE Trans. Circuits Syst. II Express Briefs.

Gu-Yeon Wei, Paul N. Whatmough, Sae Kyu Lee, 2019, IEEE Journal of Solid-State Circuits.

Gu-Yeon Wei, Paul N. Whatmough, Aleksandra Faust, 2020, IEEE Computer Architecture Letters.

Gu-Yeon Wei, Aleksandra Faust, Srivatsan Krishnan, 2021, ArXiv.

Gu-Yeon Wei, D. Brooks, V. Reddi, 2021, 2023 Design, Automation & Test in Europe Conference & Exhibition (DATE).

Glenn G. Ko, Sae Kyu Lee, Gu-Yeon Wei, 2022, IEEE Journal of Solid-State Circuits.

Gu-Yeon Wei, David M. Brooks, Wonyoung Kim, 2012, IEEE Journal of Solid-State Circuits.

Gu-Yeon Wei, David M. Brooks, Sae Kyu Lee, 2018, Design Automation Conference.

Vito Giovanni Castellana, Gu-Yeon Wei, D. Brooks, 2022, IEEE Transactions on Computers.

Gu-Yeon Wei, A. Kavcic, R. Ratnayake, 2008, IEEE Journal of Solid-State Circuits.

Gu-Yeon Wei, Erich F. Haratsch, Ruwan N. S. Ratnayake, 2007, IEEE GLOBECOM 2007 - IEEE Global Telecommunications Conference.

Gu-Yeon Wei, Erich F. Haratsch, Ruwan N. S. Ratnayake, 2007, 2007 16th International Conference on Computer Communications and Networks.

Jesmin Jahan Tithi, Robert Adolf, Gu-Yeon Wei, 2023, 2023 IEEE International Symposium on Performance Analysis of Systems and Software (ISPASS).

Gu-Yeon Wei, David M. Brooks, Simone Campanoni, 2012, CGO '12.

Gu-Yeon Wei, Paul N. Whatmough, Glenn G. Ko, 2020, IEEE Micro.

Robert J. Wood, Gu-Yeon Wei, David M. Brooks, 2011, 2011 IEEE/RSJ International Conference on Intelligent Robots and Systems.

Gu-Yeon Wei, David M. Brooks, Brandon Reagen, 2015, IEEE Micro.

Gu-Yeon Wei, Brandon Reagen, David Brooks, 2018, IEEE Transactions on Circuits and Systems II: Express Briefs.

Robert J. Wood, Xuan Zhang, Gu-Yeon Wei, 2017, IEEE Journal of Solid-State Circuits.

Alexander M. Rush, Kenneth E. Shepard, Gu-Yeon Wei, 2023, IEEE International Solid-State Circuits Conference.

Un-Ku Moon, Gu-Yeon Wei, P.K. Hanumolu, 2008, IEEE Journal of Solid-State Circuits.

Timothy M. Jones, Gu-Yeon Wei, D. Brooks, 2012, DAC Design Automation Conference 2012.

Gu-Yeon Wei, David Brooks, Mark Hempstead, 2009 .

Gu-Yeon Wei, David Brooks, L. Carloni, 2023, IEEE Design & Test.

Robert J. Wood, Gu-Yeon Wei, Michael Karpelson, 2009, 2009 IEEE International Conference on Robotics and Automation.

Victor Lee, Gu-Yeon Wei, David M. Brooks, 2019, ACM Trans. Archit. Code Optim..

Gu-Yeon Wei, Michael J. Lyons, David Brooks, 2013 .

Gu-Yeon Wei, D. Brooks, K. Rangan, 2009, ISCA '09.

Gu-Yeon Wei, David M. Brooks, Michael J. Lyons, 2010, IEEE Computer Architecture Letters.

Joseph Manzano, Marco Minutoli, Vito Giovanni Castellana, 2020, 2020 IEEE/ACM International Conference On Computer Aided Design (ICCAD).

Joseph Manzano, Marco Minutoli, Vito Giovanni Castellana, 2020, 2020 57th ACM/IEEE Design Automation Conference (DAC).

Gu-Yeon Wei, David M. Brooks, Mark Hempstead, 2009, IEEE Journal on Emerging and Selected Topics in Circuits and Systems.

Gu-Yeon Wei, David M. Brooks, Nikhil Tripathi, 2005, 32nd International Symposium on Computer Architecture (ISCA'05).

Vikram S. Adve, Gu-Yeon Wei, D. Brooks, 2023, ACM Trans. Embed. Comput. Syst..

Mark Horowitz, Gu-Yeon Wei, Gu-Yeon Wei, 1996, Proceedings of 1996 International Symposium on Low Power Electronics and Design.

Alexander M. Rush, M. Mitzenmacher, Brandon Reagen, 2017, International Conference on Machine Learning.

Vincent T. Lee, Hsien-Hsin S. Lee, Brandon Reagen, 2020, 2021 IEEE International Symposium on High-Performance Computer Architecture (HPCA).