S. Keckler

发表

William J. Dally, Antonio Puglielli, Brucek Khailany, 2017, 2017 ACM/IEEE 44th Annual International Symposium on Computer Architecture (ISCA).

Stephen W. Keckler, Niladrish Chatterjee, Mike O'Connor, 2017, 2018 IEEE International Symposium on High Performance Computer Architecture (HPCA).

Doug Burger, Stephen W. Keckler, Rajagopalan Desikan, 2001, ISCA 2001.

Natalia Gimelshein, Jason Clemons, Stephen W. Keckler, 2016, ArXiv.

Gennady Pekhimenko, O. Mutlu, T. Mowry, 2015 .

Onur Mutlu, Stephen W. Keckler, Gwangsun Kim, 2016, 2016 ACM/IEEE 43rd Annual International Symposium on Computer Architecture (ISCA).

Karthikeyan Sankaralingam, Stephen W. Keckler, Doug Burger, 2003, Proceedings. 36th Annual IEEE/ACM International Symposium on Microarchitecture, 2003. MICRO-36..

Kathryn S. McKinley, Calvin Lin, Doug Burger, 2004, Proceedings. 13th International Conference on Parallel Architecture and Compilation Techniques, 2004. PACT 2004..

David W. Nellans, Stephen W. Keckler, Niladrish Chatterjee, 2021, ACM Trans. Archit. Code Optim..

Daniel A. Jiménez, S. Keckler, C. Lin, 2000, Proceedings 33rd Annual IEEE/ACM International Symposium on Microarchitecture. MICRO-33 2000.

Jeffrey R. Diamond, Donald S. Fussell, Stephen W. Keckler, 2014, 2014 47th Annual IEEE/ACM International Symposium on Microarchitecture.

Vikas Agarwal, Doug Burger, Stephen W. Keckler, 2001, Proceedings 2001 IEEE International Conference on Computer Design: VLSI in Computers and Processors. ICCD 2001.

William J. Dally, Stephen W. Keckler, Mark Gebhart, 2011, 2011 44th Annual IEEE/ACM International Symposium on Microarchitecture (MICRO).

W. Dally, A. Parashar, Minsoo Rhu, 2017 .

William J. Dally, John D. Owens, Ron Ho, 2007, IEEE Micro.

Christopher W. Fletcher, Naresh R Shanbhag, Michael B. Sullivan, 2021, 2021 IEEE 32nd International Symposium on Software Reliability Engineering (ISSRE).

William J. Dally, Stephen W. Keckler, Nicholas P. Carter, 1995 .

Onur Mutlu, Stephen W. Keckler, Boris Grot, 2010, ISCA'10.

S. Keckler, D. Burger, K. Agaram, 2001, Proceedings of the Fourth Annual IEEE International Workshop on Workload Characterization. WWC-4 (Cat. No.01EX538).

Michael B. Sullivan, Donghyuk Lee, S. Keckler, 2022, 2022 IEEE International Symposium on High-Performance Computer Architecture (HPCA).

C. R. Moore, S. Keckler, R. Desikan, 2004, IEEE Micro.

Mattan Erez, Stephen W. Keckler, Michael B. Sullivan, 2019, ICS.

C. T. Gray, W. Dally, Rangharajan Venkatesan, 2019, Communications of the ACM.

Vikas Agarwal, Karthikeyan Sankaralingam, Doug Burger, 2003 .

S. Keckler, N. Jouppi, D. Burger, 2002, Proceedings 29th Annual International Symposium on Computer Architecture.

Doug Burger, Stephen W. Keckler, Vincent Ajay Singh, 2003 .

Doug Burger, Stephen W. Keckler, Rajagopalan Desikan, 2001 .

Vinod K. Agarwal, Doug Burger, Stephen W. Keckler, 2000 .

Madhu Saravana Sibi Govindan, S. Keckler, D. Burger, 2007, 40th Annual IEEE/ACM International Symposium on Microarchitecture (MICRO 2007).

S. Keckler, D. Burger, Changkyu Kim, 2008, CARN.

S. Keckler, R. Desikan, D. Burger, 2001, Proceedings 28th Annual International Symposium on Computer Architecture.

S. Keckler, D. Burger, Jaehyuk Huh, 2001 .

C. R. Moore, S. Keckler, K. Sankaralingam, 2003, 30th Annual International Symposium on Computer Architecture, 2003. Proceedings..

Madhu Saravana Sibi Govindan, S. Keckler, D. Burger, 2007, 40th Annual IEEE/ACM International Symposium on Microarchitecture (MICRO 2007).

Kathryn S. McKinley, Doug Burger, Stephen W. Keckler, 2005 .

Michael B. Sullivan, S. Keckler, Saurabh Jha, 2022, 2022 52nd Annual IEEE/IFIP International Conference on Dependable Systems and Networks (DSN).

Gennady Pekhimenko, O. Mutlu, T. Mowry, 2014 .

Rangharajan Venkatesan, S. Keckler, Jason Clemons, 2022, arXiv.org.

William J. Dally, Justin Luitjens, David W. Nellans, 2014, SC14: International Conference for High Performance Computing, Networking, Storage and Analysis.

William J. Dally, Brucek Khailany, Stephen G. Tell, 2019, 2019 Symposium on VLSI Circuits.

William J. Dally, Stephen W. Keckler, David B. Kirk, 2021, IEEE Micro.

Oreste Villa, Stephen W. Keckler, Mark Stephenson, 2019, MICRO.

William J. Dally, Yanqing Zhang, Brucek Khailany, 2020, IEEE Journal of Solid-State Circuits.

Brucek Khailany, Stephen W. Keckler, Joel S. Emer, 2019, 2019 IEEE International Symposium on Performance Analysis of Systems and Software (ISPASS).

Natalia Gimelshein, Jason Clemons, Stephen W. Keckler, 2016, 2016 49th Annual IEEE/ACM International Symposium on Microarchitecture (MICRO).

Doug Burger, Madhu Saravana Sibi Govindan, Steve Keckler, 2007, 2007 IEEE Hot Chips 19 Symposium (HCS).

William J. Dally, Yanqing Zhang, Brucek Khailany, 2019, MICRO.

Jason Clemons, Stephen W. Keckler, Joel S. Emer, 2019, ASPLOS.

William J. Dally, Michael Garland, David Glasco, 2011, IEEE Micro.

Stephen W. Keckler, Michael B. Sullivan, Timothy Tsai, 2020, IEEE Transactions on Dependable and Secure Computing.

Stephen W. Keckler, Oreste Villa, Timothy Tsai, 2021, 2021 51st Annual IEEE/IFIP International Conference on Dependable Systems and Networks (DSN).

Charbel Sakr, Pavlo Molchanov, Sarita V. Adve, 2020, ArXiv.

Guanpeng Li, Karthik Pattabiraman, Stephen W. Keckler, 2017, SC17: International Conference for High Performance Computing, Networking, Storage and Analysis.

Stephen W. Keckler, Mark Stephenson, Joel S. Emer, 2017, 2017 IEEE International Symposium on Performance Analysis of Systems and Software (ISPASS).

C. T. Gray, W. Dally, Rangharajan Venkatesan, 2021, Commun. ACM.

William J. Dally, Kevin Skadron, Daniel R. Johnson, 2011, 2011 38th Annual International Symposium on Computer Architecture (ISCA).

David W. Nellans, Mattan Erez, Stephen W. Keckler, 2019, 2020 ACM/IEEE 47th Annual International Symposium on Computer Architecture (ISCA).

Robert A. van de Geijn, Jeffrey R. Diamond, Doug Burger, 2008, PPoPP.

William J. Dally, Stephen W. Keckler, Nicholas P. Carter, 1997, Proceedings of the 28th Annual International Symposium on Microarchitecture.

W. Dally, S. Keckler, Marco Fillo, 1995, MICRO 28.

Mahmut T. Kandemir, Chita R. Das, Stephen W. Keckler, 2014, GPGPU@ASPLOS.

Zahra Ghodsi, Siddharth Garg, Iuri Frosio, 2021, 2021 IEEE Intelligent Vehicles Symposium (IV).

Michael B. Sullivan, S. Keckler, V. Reddi, 2022, DAC.

Doug Burger, Stephen W. Keckler, Premkishore Shivakumar, 2003, 2012 IEEE 30th International Conference on Computer Design (ICCD).

Ravishankar K. Iyer, Zbigniew T. Kalbarczyk, Stephen W. Keckler, 2019, 2019 49th Annual IEEE/IFIP International Conference on Dependable Systems and Networks (DSN).

Chih-Chi Cheng, Jason Clemons, Iuri Frosio, 2016, 2016 49th Annual IEEE/ACM International Symposium on Microarchitecture (MICRO).

William J. Dally, Stephen W. Keckler, Nicholas P. Carter, 1994, ASPLOS VI.

Mahmut T. Kandemir, Chita R. Das, Stephen W. Keckler, 2015, MEMSYS.

Yuan Xie, Jason Clemons, Stephen W. Keckler, 2017 .

William J. Dally, Daniel R. Johnson, Stephen W. Keckler, 2017, 2017 IEEE International Symposium on High Performance Computer Architecture (HPCA).

Aditya Agrawal, Stephen W. Keckler, Niladrish Chatterjee, 2016, MEMSYS.

William J. Dally, Brucek Khailany, Stephen W. Keckler, 2012, 2012 45th Annual IEEE/ACM International Symposium on Microarchitecture.

Onur Mutlu, Stephen W. Keckler, Gwangsun Kim, 2016, 2016 ACM/IEEE 43rd Annual International Symposium on Computer Architecture (ISCA).

William J. Dally, Brucek Khailany, Jason Clemons, 2019, 2019 IEEE/ACM International Conference on Computer-Aided Design (ICCAD).

Michael B. Sullivan, Donghyuk Lee, S. Keckler, 2021, IEEE Micro.

David W. Nellans, Daniel R. Johnson, Stephen W. Keckler, 2015, 2015 ACM/IEEE 42nd Annual International Symposium on Computer Architecture (ISCA).

Thomas F. Wenisch, David W. Nellans, Stephen W. Keckler, 2015, 2015 IEEE 21st International Symposium on High Performance Computer Architecture (HPCA).

Stephen W. Keckler, Zhengya Zhang, Yakun Sophia Shao, 2021, IEEE Journal of Solid-State Circuits.

Lorenzo Alvisi, Doug Burger, Stephen W. Keckler, 2002, Proceedings International Conference on Dependable Systems and Networks.

Jaehyuk Huh, Karthikeyan Sankaralingam, Doug Burger, 2004, TACO.

Onur Mutlu, Stephen W. Keckler, Boris Grot, 2011, 2011 38th Annual International Symposium on Computer Architecture (ISCA).

Stephen W. Keckler, Boris Grot, Joel Hestness, 2010, NoCArc '10.

Stephen W. Keckler, Ram Rangan, Mark Stephenson, 2021, Comput. Graph. Forum.

Vikas Agarwal, Doug Burger, Stephen W. Keckler, 2000, Proceedings of 27th International Symposium on Computer Architecture (IEEE Cat. No.RS00201).

David W. Nellans, Stephen W. Keckler, Mark Stephenson, 2016, 2016 IEEE International Symposium on High Performance Computer Architecture (HPCA).

Alex Ramírez, David W. Nellans, Oreste Villa, 2015, IEEE Micro.

Stephen W. Keckler, Mark Stephenson, Neha Agarwal, 2015, ASPLOS.

Harrick M. Vin, Stephen W. Keckler, Jayaram Mudigonda, 2007, SIGCOMM '07.

Kunle Olukotun, H. Peter Hofstee, Stephen W. Keckler, 2009, Integrated Circuits and Systems.

Stephen W. Keckler, Steven K. Reinhardt, S. Keckler, 2012, Computer.

William J. Dally, Stephen W. Keckler, Nicholas P. Carter, 1998, Proceedings International Conference on Computer Design. VLSI in Computers and Processors (Cat. No.98CB36273).

R. Nagarajan, K. Sankaralingam, D. Burger, 2001, Proceedings. 34th ACM/IEEE International Symposium on Microarchitecture. MICRO-34.

Stephen W. Keckler, Premkishore Shivakumar, S. Keckler, 2007 .

Stephen W. Keckler, Paul Gratz, Boris Grot, 2008, 2008 IEEE 14th International Symposium on High Performance Computer Architecture.

D. Burger, H. Hanson, C. R. Moore, 2003, Proceedings of the 2003 International Symposium on Low Power Electronics and Design, 2003. ISLPED '03..

Stephen W. Keckler, Abdulrahman Mahmoud, Michael B. Sullivan, 2018, SC18: International Conference for High Performance Computing, Networking, Storage and Analysis.

Joel Emer, Timothy Tsai, Siva Hari, 2015 .

William J. Dally, Aditya Agrawal, Stephen W. Keckler, 2017, 2017 50th Annual IEEE/ACM International Symposium on Microarchitecture (MICRO).

David A. Wood, Stephen W. Keckler, Joel Hestness, 2014, 2014 IEEE International Symposium on Workload Characterization (IISWC).

Franziska Roesner, Doug Burger, Stephen W. Keckler, 2008, 2008 International Symposium on Computer Architecture.

Simha Sethumadhavan, Doug Burger, Stephen W. Keckler, 2004, ASPLOS XI.

Simha Sethumadhavan, Doug Burger, Stephen W. Keckler, 2003, IEEE Micro.

Simha Sethumadhavan, Doug Burger, Stephen W. Keckler, 2022 .

Rangharajan Venkatesan, S. Keckler, Jason Clemons, 2022, ArXiv.

Krste Asanovic, Stephen W. Keckler, Ronny Krashinsky, 2014, 2014 47th Annual IEEE/ACM International Symposium on Microarchitecture.

Karthick Rajamani, Soraya Ghiasi, Freeman L. Rawson, 2007, Proceedings of the 2007 international symposium on Low power electronics and design (ISLPED '07).

Michael B. Sullivan, S. Keckler, A. Jaleel, 2023, ISCA.

Christopher W. Fletcher, Naresh R Shanbhag, Michael B. Sullivan, 2020 .

O. Mutlu, Kevin Hsieh, N. Vijaykumar, 2016, 2016 ACM/IEEE 43rd Annual International Symposium on Computer Architecture (ISCA).

William J. Dally, Stephen W. Keckler, Sandeep Chatterjee, 1999, IEEE Trans. Computers.

Karthick Rajamani, Soraya Ghiasi, Freeman L. Rawson, 2007, 2007 IEEE International Parallel and Distributed Processing Symposium.

Simha Sethumadhavan, Karthikeyan Sankaralingam, Doug Burger, 2006, 2006 39th Annual IEEE/ACM International Symposium on Microarchitecture (MICRO'06).

Doug Burger, Stephen W. Keckler, Paul Gratz, 2006, 2006 International Conference on Computer Design.

Krste Asanovic, Stephen W. Keckler, Ronny Krashinsky, 2013, Proceedings of the 2013 IEEE/ACM International Symposium on Code Generation and Optimization (CGO).

Thomas F. Wenisch, David W. Nellans, Stephen W. Keckler, 2016, 2016 IEEE International Symposium on High Performance Computer Architecture (HPCA).

Stephen W. Keckler, Brian Zimmer, Michael B. Sullivan, 2018, 2018 51st Annual IEEE/ACM International Symposium on Microarchitecture (MICRO).

Onur Mutlu, Todd C. Mowry, Gennady Pekhimenko, 2016, 2016 IEEE International Symposium on High Performance Computer Architecture (HPCA).

Doug Burger, Stephen W. Keckler, Changkyu Kim, 2002, ASPLOS X.

Stephen W. Keckler, Paul V. Gratz, S. Keckler, 2009 .

Onur Mutlu, Stephen W. Keckler, Boris Grot, 2009, 2009 42nd Annual IEEE/ACM International Symposium on Microarchitecture (MICRO).

William J. Dally, Stephen W. Keckler, Daniel Maskit, 1998, Proceedings. 25th Annual International Symposium on Computer Architecture (Cat. No.98CB36235).

Sriram R. Vangal, Li-Shiuan Peh, Stephen W. Keckler, 2017 .

Li-Shiuan Peh, Sriram Vangal, Stephen W. Keckler, 2009, Multicore Processors and Systems.

Stephen W. Keckler, Mark Stephenson, Neal C. Crago, 2018, ACM Trans. Archit. Code Optim..

Changkyu Kim, Stephen W. Keckler, Doug Burger, 2003, IEEE Micro.

Lizy Kurian John, Michael Dahlin, Kathryn S. McKinley, 2004, Computer.

James C. Browne, Martin Burtscher, Byoung-Do Kim, 2011, (IEEE ISPASS) IEEE INTERNATIONAL SYMPOSIUM ON PERFORMANCE ANALYSIS OF SYSTEMS AND SOFTWARE.

James C. Browne, Martin Burtscher, Byoung-Do Kim, 2010 .

Jaehyuk Huh, Lixin Zhang, Doug Burger, 2007, IEEE Transactions on Parallel and Distributed Systems.

Jaehyuk Huh, Lixin Zhang, Doug Burger, 2005, ICS.

Doug Burger, Stephen W. Keckler, Rajagopalan Desikan, 2004 .

Jaehyuk Huh, Doug Burger, Stephen W. Keckler, 2001, Proceedings 2001 International Conference on Parallel Architectures and Compilation Techniques.

Todd M. Austin, Doug Burger, Stephen W. Keckler, 2004, PERV.

S. Keckler, A. Jaleel, N. Crago, 2023, 2023 IEEE International Symposium on Performance Analysis of Systems and Software (ISPASS).

Stephen W. Keckler, Boris Grot, S. Keckler, 2008 .

Doug Burger, Stephen W. Keckler, Nitya Ranganathan, 2009, 2009 IEEE International Symposium on Performance Analysis of Systems and Software.

Michael B. Sullivan, S. Keckler, Jishen Zhao, 2021, 2021 IEEE 32nd International Symposium on Software Reliability Engineering (ISSRE).

Rangharajan Venkatesan, S. Keckler, Jason Clemons, 2022, 2212.02687.

S. Keckler, Jason Clemons, J. Álvarez, 2023, 2023 IEEE Intelligent Vehicles Symposium (IV).

J. Emer, S. Keckler, Jason Clemons, 2023, ACM Trans. Comput. Syst..

Joel Emer, Stephen W. Keckler, Paolo Rech, 2020, ArXiv.

Stephen W. Keckler, Brian Zimmer, Timothy Tsai, 2016 .

Stephen W. Keckler, Premkishore Shivakumar, S. Keckler, 2007 .