Saugata Ghose

发表

Rachata Ausavarungnirun, Onur Mutlu, Saugata Ghose, 2020, 2020 ACM/IEEE 47th Annual International Symposium on Computer Architecture (ISCA).

O. Mutlu, Yixin Luo, Saugata Ghose, 2016, IEEE Journal on Selected Areas in Communications.

Onur Mutlu, Samira Manabi Khan, Gennady Pekhimenko, 2016, 2016 49th Annual IEEE/ACM International Symposium on Microarchitecture (MICRO).

Onur Mutlu, Tianshi Li, Saugata Ghose, 2019, SIGMETRICS.

Damla Senol Cali, O. Mutlu, Nastaran Hajinazar, 2019, SIGMETRICS.

Onur Mutlu, Juan Gómez-Luna, Saugata Ghose, 2018, FAST.

Jin Sun, Hui Wang, Jongmoo Choi, 2017, 2017 IEEE International Conference on Cluster Computing (CLUSTER).

Onur Mutlu, Brandon Lucia, Hongzhong Zheng, 2017, IEEE Computer Architecture Letters.

Jongmoo Choi, Onur Mutlu, Yixin Luo, 2015, 2015 31st Symposium on Mass Storage Systems and Technologies (MSST).

Onur Mutlu, Saugata Ghose, Yu Cai, 2015, 2015 45th Annual IEEE/IFIP International Conference on Dependable Systems and Networks.

Onur Mutlu, Samira Manabi Khan, Gennady Pekhimenko, 2016, ACM Trans. Archit. Code Optim..

O. Mutlu, Rachata Ausavarungnirun, Saugata Ghose, 2019, Design Automation Conference.

Geraldo F. Oliveira, O. Mutlu, Saugata Ghose, 2022, 2022 IEEE Computer Society Annual Symposium on VLSI (ISVLSI).

Geraldo F. Oliveira, Amirali Boroumand, O. Mutlu, 2022, 2022 IEEE Computer Society Annual Symposium on VLSI (ISVLSI).

Onur Mutlu, Saugata Ghose, Mohammed Alser, 2020, ASPLOS.

Geraldo F. Oliveira, Amirali Boroumand, O. Mutlu, 2022, 2022 IEEE 38th International Conference on Data Engineering (ICDE).

Minh S. Q. Truong, Saugata Ghose, L. Carley, 2022, IEEE Journal on Emerging and Selected Topics in Circuits and Systems.

Onur Mutlu, Saugata Ghose, Amirali Boroumand, 2021, 2021 30th International Conference on Parallel Architectures and Compilation Techniques (PACT).

O. Mutlu, Rachata Ausavarungnirun, Saugata Ghose, 2020, arXiv.org.

Jeremie S. Kim, O. Mutlu, Minesh Patel, 2021, 2021 IEEE International Symposium on High-Performance Computer Architecture (HPCA).

Jeremie S. Kim, Damla Senol Cali, Nika Mansouri Ghiasi, 2022, Proceedings of the 49th Annual International Symposium on Computer Architecture.

O. Mutlu, Rachata Ausavarungnirun, Saugata Ghose, 2020, ArXiv.

Geraldo F. Oliveira, Amirali Boroumand, O. Mutlu, 2022, IEEE Micro.

Rachata Ausavarungnirun, Onur Mutlu, Saugata Ghose, 2020, 2020 53rd Annual IEEE/ACM International Symposium on Microarchitecture (MICRO).

Onur Mutlu, Saugata Ghose, Jeremie S. Kim, 2020, IEEE Micro.

Onur Mutlu, Oguz Ergin, Saugata Ghose, 2017, 1708.04329.

Rachata Ausavarungnirun, Saugata Ghose, Kevin Hsieh, 2018, Beyond-CMOS Technologies for Next Generation Computer Design.

Onur Mutlu, Yixin Luo, Saugata Ghose, 2017, Proceedings of the IEEE.

Rachata Ausavarungnirun, Onur Mutlu, Juan Gómez-Luna, 2019, Microprocess. Microsystems.

Rachata Ausavarungnirun, Onur Mutlu, Rahul Thakur, 2018, ASPLOS.

Rachata Ausavarungnirun, Onur Mutlu, Brandon Lucia, 2019, 2019 ACM/IEEE 46th Annual International Symposium on Computer Architecture (ISCA).

Saugata Ghose, Udit Gupta, Gururaj Saileshwar, 2021, Workshop On Computer Architecture Education.

Onur Mutlu, Samira Manabi Khan, Saugata Ghose, 2016, 2016 IEEE 34th International Conference on Computer Design (ICCD).

Krishna T. Malladi, Amirali Boroumand, O. Mutlu, 2019, Proceedings of the 46th International Symposium on Computer Architecture.

Jeremie S. Kim, O. Mutlu, Minesh Patel, 2020, 2020 53rd Annual IEEE/ACM International Symposium on Microarchitecture (MICRO).

Onur Mutlu, Saugata Ghose, Abdullah Giray Yaglikçi, 2019, 2019 ACM/IEEE 46th Annual International Symposium on Computer Architecture (ISCA).

Onur Mutlu, Saugata Ghose, Hasan Hassan, 2018, 2018 51st Annual IEEE/ACM International Symposium on Microarchitecture (MICRO).

Onur Mutlu, Oguz Ergin, Samira Manabi Khan, 2017, 2017 IEEE International Symposium on High Performance Computer Architecture (HPCA).

Onur Mutlu, Saugata Ghose, Moinuddin K. Qureshi, 2016, 2016 IEEE International Symposium on High Performance Computer Architecture (HPCA).

Onur Mutlu, Yaohua Wang, Saugata Ghose, 2021, 2021 ACM/IEEE 48th Annual International Symposium on Computer Architecture (ISCA).

Onur Mutlu, Juan Gómez-Luna, Saugata Ghose, 2021, IEEE Access.

Tianshi Li, Onur Mutlu, Saugata Ghose, 2019, Proc. ACM Meas. Anal. Comput. Syst..

Onur Mutlu, Juan Gómez-Luna, Saugata Ghose, 2019, ArXiv.

Jeremie S. Kim, Nika Mansouri Ghiasi, O. Mutlu, 2019, Proceedings of the 46th International Symposium on Computer Architecture.

Onur Mutlu, Aditya Agrawal, Saugata Ghose, 2018, Proc. ACM Meas. Anal. Comput. Syst..

Rachata Ausavarungnirun, Onur Mutlu, Saugata Ghose, 2018, ArXiv.

Onur Mutlu, Oguz Ergin, Samira Manabi Khan, 2018, ArXiv.

Mahmut T. Kandemir, Chita R. Das, Rachata Ausavarungnirun, 2018, ArXiv.

Onur Mutlu, Tianshi Li, Yixin Luo, 2017, ArXiv.

Gennady Pekhimenko, Vivek Seshadri, O. Mutlu, 2016, Proc. ACM Meas. Anal. Comput. Syst..

Rachata Ausavarungnirun, Onur Mutlu, Samira Manabi Khan, 2016, ArXiv.

José F. Martínez, Saugata Ghose, Hyodong Lee, 2013, ISCA.

Onur Mutlu, Aditya Agrawal, Abhijith Kashyap, 2017, Proc. ACM Meas. Anal. Comput. Syst..

Rachata Ausavarungnirun, Onur Mutlu, Jayneel Gandhi, 2018, ASPLOS.

Mahmut T. Kandemir, Chita R. Das, Rachata Ausavarungnirun, 2015, 2015 International Conference on Parallel Architecture and Compilation (PACT).

Onur Mutlu, Juan Gómez-Luna, Saugata Ghose, 2019, IBM J. Res. Dev..

Onur Mutlu, Juan Gómez-Luna, Saugata Ghose, 2018, 2018 ACM/IEEE 45th Annual International Symposium on Computer Architecture (ISCA).

Onur Mutlu, Yixin Luo, Saugata Ghose, 2018, 2018 IEEE International Symposium on High Performance Computer Architecture (HPCA).

Onur Mutlu, Ken Mai, Yixin Luo, 2017, 2017 IEEE International Symposium on High Performance Computer Architecture (HPCA).

Rachata Ausavarungnirun, Onur Mutlu, Juan Gómez-Luna, 2019, DAC.

Onur Mutlu, Saugata Ghose, Mohammed Alser, 2021, ArXiv.

Yixin Luo, Saugata Ghose, Yu Cai, 2017, Digit. Investig..

Yang Li, Onur Mutlu, Karthick Rajamani, 2019, 2019 IEEE International Symposium on High Performance Computer Architecture (HPCA).

Saugata Ghose, 2022, 2022 IEEE Computer Society Annual Symposium on VLSI (ISVLSI).

James A. Bain, L. Richard Carley, Saugata Ghose, 2021, MICRO.

Rachata Ausavarungnirun, Onur Mutlu, Jayneel Gandhi, 2017, 2017 50th Annual IEEE/ACM International Symposium on Microarchitecture (MICRO).

Rachata Ausavarungnirun, Onur Mutlu, Jayneel Gandhi, 2018, ArXiv.

Saugata Ghose, Aneesh Aggarwal, Polina Dudnik, 2009, 2009 Design, Automation & Test in Europe Conference & Exhibition.

Onur Mutlu, Saugata Ghose, Abdullah Giray Yaglikçi, 2021, International Symposium on High-Performance Computer Architecture.

Saugata Ghose, Saugata Ghose, 2014 .

Jeremie S. Kim, Damla Senol Cali, C. Alkan, 2020, IEEE Micro.

Onur Mutlu, Nian-Feng Tzeng, Saugata Ghose, 2016, 2016 IEEE 34th International Conference on Computer Design (ICCD).

O. Mutlu, Rachata Ausavarungnirun, C. Rossbach, 2018, Encyclopedic Dictionary of Archaeology.

Rachata Ausavarungnirun, Onur Mutlu, Jayneel Gandhi, 2017, ArXiv.

Mahmut T. Kandemir, Chita R. Das, Rachata Ausavarungnirun, 2016, ArXiv.

Yang Li, Jie Liu, Rachata Ausavarungnirun, 2016, 2016 IEEE International Symposium on High Performance Computer Architecture (HPCA).

João Dinis Ferreira, Geraldo F. Oliveira, O. Mutlu, 2021, ASPLOS.

Gennady Pekhimenko, Vivek Seshadri, O. Mutlu, 2017, Proc. ACM Meas. Anal. Comput. Syst..

MutluOnur, LeeDonghyuk, GhoseSaugata, 2017, SIGMETRICS.

Geraldo F. Oliveira, Saugata Ghose, A. G. Yaglikçi, 2024, 2024 IEEE International Symposium on High-Performance Computer Architecture (HPCA).

Moinuddin K. Qureshi, Prashant J. Nair, O. Mutlu, 2016, 2016 IEEE International Symposium on High Performance Computer Architecture (HPCA).

Gennady Pekhimenko, O. Mutlu, Donghyuk Lee, 2016, ACM Trans. Archit. Code Optim..