P. Pan

发表

Jason Cong, Zhenman Fang, Peipei Zhou, 2016, 2016 IEEE/ACM International Conference on Computer-Aided Design (ICCAD).

P. Pan, C.L. Liu, Xiangfeng Chen, 1996, 33rd Design Automation Conference Proceedings, 1996.

P. Pan, 1999, Proceedings 1999 Design Automation Conference (Cat. No. 99CH36361).

C. L. Liu, Peichen Pan, Sai-keung Dong, 1993, DAC.

Weiping Shi, C. L. Liu, Peichen Pan, 1994, IEEE/ACM International Conference on Computer-Aided Design.

P. Pan, J. Cong, K. Vissers, 2022, ACM Trans. Reconfigurable Technol. Syst..

C. L. Liu, Peichen Pan, P. Pan, 1995, IEEE Trans. Comput. Aided Des. Integr. Circuits Syst..

C. L. Liu, P. Pan, P. Pan, 1992, 1992 IEEE/ACM International Conference on Computer-Aided Design.

Jason Cong, Zhenman Fang, Chen Zhang, 2019, IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems.

Jason Cong, Deming Chen, Peichen Pan, 2006, Found. Trends Electron. Des. Autom..

Emile H. L. Aarts, Peichen Pan, C. L. Liu, 2003 .

Jason Cong, Peng Zhang, Yuxin Wang, 2016, FPGAs for Software Programmers.

Parthasarathi Dasgupta, Peichen Pan, Subhas C. Nandy, 2002, TODE.

Weiping Shi, C. L. Liu, Peichen Pan, 1994, IEEE/ACM International Conference on Computer-Aided Design.

C. L. Liu, Peichen Pan, P. Pan, 1999, IEEE Trans. Computers.

C.L. Liu, Peichen Pan, P. Pan, 1996, 33rd Design Automation Conference Proceedings, 1996.

C. L. Liu, Peichen Pan, Sai-keung Dong, 1993, 30th ACM/IEEE Design Automation Conference.