J. Cong
发表
Jason Cong,
Zhenman Fang,
Peipei Zhou,
2016,
2016 IEEE/ACM International Conference on Computer-Aided Design (ICCAD).
J. Cong,
Kei-Yong Khoo,
Z. Pan,
1997,
ICCAD 1997.
J. Cong,
Gang Chen,
2004
.
Jason Cong,
Songjie Xu,
J. Cong,
1998,
FPGA '98.
Jason Cong,
Yean-Yow Hwang,
J. Cong,
1995,
Third International ACM Symposium on Field-Programmable Gate Arrays.
J. Cong,
C. Liu,
N. Hasan,
1989
.
Jason Cong,
Tony F. Chan,
Kenton Sze,
2005,
ISPD '05.
J. Cong,
Chin-Chih Chang,
M. Xie,
2003,
Proceedings of the ASP-DAC Asia and South Pacific Design Automation Conference, 2003..
J. Cong,
Chin-Chih Chang,
Xin Yuan,
2003,
Proceedings of the ASP-DAC Asia and South Pacific Design Automation Conference, 2003..
Jason Cong,
Amit Agarwal,
Brian Tagiku,
2013,
TODE.
P. McKinley,
J. Cong,
C. Liu,
1992
.
Jason Cong,
Cheng-Kok Koh,
Andrew B. Kahng,
1998,
TODE.
A. Kahng,
J. Cong,
Cheng-Kok Koh,
1995,
Proceedings of IEEE International Conference on Computer Aided Design (ICCAD).
Jason Cong,
Kwok-Shing Leung,
J. Cong,
1993,
ICCAD '93.
Jason Cong,
J. Cong,
2001,
Proc. IEEE.
J. Cong,
Lei He,
Lei He,
1996,
Proceedings of IEEE International Conference on Computer Aided Design (ICCAD).
J. Cong,
S. Lim,
1998,
ICCAD '98.
J. Cong,
Cheng-Kok Koh,
1997,
ICCAD 1997.
J. Cong,
K. Leung,
1993,
Proceedings of 1993 International Conference on Computer Aided Design (ICCAD).
Jason Cong,
Patrick H. Madden,
J. Cong,
1995,
Proceedings of ISCAS'95 - International Symposium on Circuits and Systems.
Jason Cong,
Guangyu Sun,
Yijin Guan,
2017,
2017 22nd Asia and South Pacific Design Automation Conference (ASP-DAC).
J. Cong,
G. Robins,
M. Sarrafzadeh,
1992,
[Proceedings] 1992 IEEE International Symposium on Circuits and Systems.
Jason Cong,
David Z. Pan,
Tianming Kong,
1999,
1999 IEEE/ACM International Conference on Computer-Aided Design. Digest of Technical Papers (Cat. No.99CH37051).
Tao Zhang,
Jason Cong,
Yiran Chen,
2018,
2018 IEEE International Symposium on High Performance Computer Architecture (HPCA).
Jason Cong,
Muhuan Huang,
Yi Zou,
2011,
2011 21st International Conference on Field Programmable Logic and Applications.
Jason Cong,
Glenn Reinman,
Chunyue Liu,
2011
.
Vivek Sarkar,
J. Cong,
G. Reinman,
2010
.
Jason Cong,
Andrew B. Kahng,
Gabriel Robins,
1991,
28th ACM/IEEE Design Automation Conference.
A. Kahng,
J. Cong,
1998
.
Jason Cong,
Majid Sarrafzadeh,
Andrew B. Kahng,
1994
.
Jason Cong,
Andrew B. Kahng,
Gabriel Robins,
1993
.
Cody Hao Yu,
Zhenman Fang,
J. Cong,
2018
.
J. Cong,
Deming Chen,
W. Hwu,
2016
.
L. Vese,
J. Cong,
A. Bui,
2013
.
J. Cong,
M. Romesis,
J.R. Shinned,
2005,
IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems.
Deming Chen,
Yiping Fan,
J. Cong,
2003,
Proceedings of the 2003 International Symposium on Low Power Electronics and Design, 2003. ISLPED '03..
J. Cong,
K. Leung,
1994
.
Y. Tamir,
J. Cong,
G. Reinman,
2022
.
J. Cong,
G. Reinman,
T. Millstein,
2012
.
J. Cong,
Cheng-Kok Koh,
P. Madden,
2007,
IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems.
Mingxing Tan,
J. Cong,
Xu Cheng,
2009
.
Jason Cong,
J. Cong,
1996
.
Jason Cong,
David Blaauw,
Farid N. Najm,
1999,
International Symposium on Low Power Electronics and Design.
J. Cong,
D. Kirovski,
M. Potkonjak,
1998,
1998 IEEE/ACM International Conference on Computer-Aided Design. Digest of Technical Papers (IEEE Cat. No.98CB36287).
Joseph R. Shinnerl,
Jason Cong,
J. Cong,
2007,
Handbook of Approximation Algorithms and Metaheuristics.
Zhenman Fang,
J. Cong,
G. Reinman,
2019
.
J. Cong,
R. Rutenbar,
T. Gao,
2006,
2006 IEEE/ACM International Conference on Computer Aided Design.
Cody Hao Yu,
J. Cong,
Peng Wei,
2018
.
J. Cong,
Jie Wang,
2016
.
Cody Hao Yu,
Zhenman Fang,
J. Cong,
2016
.
J. Cong,
Kazutomo Yoshii,
F. Cappello,
2016
.
P. Spellman,
Peipei Zhou,
J. Cong,
2015
.
J. Cong,
Guojie Luo,
2022
.
J. Cong,
J. Shalf,
Kazutomo Yoshii,
2016
.
J. Cong,
Zhiru Zhang,
Yiping Fan,
2002
.
Jason Cong,
Cheng-Kok Koh,
Lei He,
1997,
1997 Proceedings of IEEE International Conference on Computer Aided Design (ICCAD).
J. Cong,
M. Potkonjak,
Zhiru Zhang,
2003,
ICCAD-2003. International Conference on Computer Aided Design (IEEE Cat. No.03CH37486).
Jason Cong,
Majid Sarrafzadeh,
Andrew B. Kahng,
1993,
ISCAS.
Jason Cong,
Majid Sarrafzadeh,
Maogang Wang,
2000,
ASP-DAC '00.
J. Cong,
Yuze Chi,
Linghao Song,
2021,
DAC.
Joseph R. Shinnerl,
Jason Cong,
Tony F. Chan,
2003,
ICCAD-2003. International Conference on Computer Aided Design (IEEE Cat. No.03CH37486).
J. Cong,
M. Xie,
Xin Yuan,
2003,
ICCAD-2003. International Conference on Computer Aided Design (IEEE Cat. No.03CH37486).
J. Cong,
J. Shinnerl,
Michalis Romesis,
2022
.
Joseph R. Shinnerl,
Jason Cong,
Min Xie,
2005
.
J. Cong,
2006
.
J. Cong,
Yizhou Sun,
Yunsheng Bai,
2022,
DAC.
Jason Cong,
Patrick H. Madden,
J. Cong,
1998
.
Zhenman Fang,
J. Cong,
Y. Hao,
2017
.
Jason Cong,
Songjie Xu,
Yuzheng Ding,
1998
.
Jason Cong,
Cheng-Kok Koh,
Kwok-Shing Leung,
1996,
Proceedings of 1996 International Symposium on Low Power Electronics and Design.
J. Cong,
Lei He,
1995,
ICCAD 1995.
J. Cong,
M. Niu,
Wan-Hsuan Lin,
2022,
IEEE Journal on Emerging and Selected Topics in Circuits and Systems.
J. Cong,
Lu Zhang,
Jian Weng,
2022,
2022 55th IEEE/ACM International Symposium on Microarchitecture (MICRO).
Jason Cong,
Yan Zhang,
J. Cong,
2005,
Asia and South Pacific Design Automation Conference.
Adnan Darwiche,
J. Cong,
Yujia Shen,
2022,
ACM Transactions on Reconfigurable Technology and Systems.
Yan Zhang,
J. Cong,
J. Cong,
2005,
Proceedings of the ASP-DAC 2005. Asia and South Pacific Design Automation Conference, 2005..
Jason Cong,
Sachin S. Sapatnekar,
Yuan Xie,
2010
.
Jason Cong,
Yuze Chi,
Atefeh Sohrabizadeh,
2021,
FPGA.
Peipei Zhou,
J. Cong,
Bojie Li,
2018
.
Jason Cong,
Cheng-Kok Koh,
Kwok-Shing Leung,
1994
.
J. Cong,
D. Xu,
1995,
Proceedings of ASP-DAC'95/CHDL'95/VLSI'95 with EDA Technofair.
J. Cong,
M. Lukin,
D. Bluvstein,
2022,
Proceedings of the 41st IEEE/ACM International Conference on Computer-Aided Design.
Jason Cong,
Kenneth L. Shepard,
Robert C. Aitken,
1998,
International Conference on Computer Aided Design.
J. Cong,
Bochen Tan,
2020,
2020 IEEE/ACM International Conference On Computer Aided Design (ICCAD).
P. Pan,
J. Cong,
K. Vissers,
2022,
ACM Trans. Reconfigurable Technol. Syst..
Jason Cong,
Zhenman Fang,
Jingxian Xu,
2018,
2018 IEEE 26th Annual International Symposium on Field-Programmable Custom Computing Machines (FCCM).
Jason Cong,
Zhenman Fang,
Mau-Chung Frank Chang,
2018,
2018 IEEE 26th Annual International Symposium on Field-Programmable Custom Computing Machines (FCCM).
Jason Cong,
Di Wu,
Muhuan Huang,
2016,
ISLPED.
Jason Cong,
Cody Hao Yu,
Di Wu,
2016,
2016 53nd ACM/EDAC/IEEE Design Automation Conference (DAC).
A High-throughput Architecture for Lossless Decompression on FPGA Designed Using HLS (Abstract Only)
Jason Cong,
Yunsong Li,
Jie Lei,
2016,
FPGA.
Jason Cong,
Zhiru Zhang,
Bin Liu,
2011,
IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems.
Jason Cong,
Chen Zhang,
Yijin Guan,
2015,
FPGA.
Jason Cong,
Guojie Luo,
Jiaxi Zhang,
2016,
ISPD.
Jason Cong,
Hao Wu,
Mau-Chung Frank Chang,
2012,
IEEE Journal on Emerging and Selected Topics in Circuits and Systems.
Jason Cong,
Zhenman Fang,
Glenn Reinman,
2017,
MEMSYS.
Jason Cong,
Zhiru Zhang,
J. Cong,
2006,
2006 43rd ACM/IEEE Design Automation Conference.
Jason Cong,
Zhiru Zhang,
Shih-Chieh Chang,
2008,
2008 Asia and South Pacific Design Automation Conference.
Jason Cong,
Deming Chen,
Yiping Fan,
2006,
2006 43rd ACM/IEEE Design Automation Conference.
Jason Cong,
David Z. Pan,
J. Cong,
2002,
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst..
Jason Cong,
Cheng-Kok Koh,
Lei He,
1997
.
J. Cong,
Lei He,
1996,
Proceedings of International Conference on Computer Aided Design.
Jason Cong,
Kwok-Shing Leung,
J. Cong,
1995,
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst..
Jason Cong,
Chak-Kuen Wong,
Majid Sarrafzadeh,
1991,
[1991 Proceedings] IEEE International Conference on Computer Design: VLSI in Computers and Processors.
Jason Cong,
Andrew B. Kahng,
Gabriel Robins,
1991,
[1991] Proceedings Fourth Annual IEEE International ASIC Conference and Exhibit.
Jason Cong,
Peng Zhang,
Yi Zou,
2012,
DAC Design Automation Conference 2012.
Peng Zhang,
Jason Cong,
Cody Hao Yu,
2017,
2017 54th ACM/EDAC/IEEE Design Automation Conference (DAC).
Jason Cong,
Young Kyu Choi,
J. Cong,
2016,
IEEE Transactions on Biomedical Circuits and Systems.
Jason Cong,
Deming Chen,
Wen-mei W. Hwu,
2009,
ICS.
Jason Cong,
Bochen Tan,
J. Cong,
2020,
IEEE Transactions on Computers.
Jason Cong,
Jie Wang,
Young-kyu Choi,
2020,
ArXiv.
Jason Cong,
Bochen Tan,
J. Cong,
2021,
ArXiv.
J. Cong,
Yiyu Shi,
M. Niemier,
2018
.
J. Cong,
Yuze Chi,
Linghao Song,
2021,
ICASSP 2022 - 2022 IEEE International Conference on Acoustics, Speech and Signal Processing (ICASSP).
G. Cauwenberghs,
J. Cong,
P. Mazumder,
2021
.
Jason Cong,
Jie Wang,
J. Cong,
2018,
2018 IEEE/ACM International Conference on Computer-Aided Design (ICCAD).
Jason Cong,
Zhenman Fang,
Chen Zhang,
2019,
IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems.
Jason Cong,
Bingjun Xiao,
J. Cong,
2014,
ICANN.
Peng Zhang,
Jason Cong,
Cody Hao Yu,
2018,
2018 IEEE/ACM International Conference on Computer-Aided Design (ICCAD).
Jason Cong,
Yun Liang,
Xuechao Wei,
2019,
2019 56th ACM/IEEE Design Automation Conference (DAC).
Jason Cong,
Tong He,
Zhenyuan Ruan,
2019,
USENIX Annual Technical Conference.
Jason Cong,
Po-Tsang Huang,
Tianhe Yu,
2018,
2018 28th International Conference on Field Programmable Logic and Applications (FPL).
Jason Cong,
Liancheng Jia,
Jieming Yin,
2021,
2021 ACM/IEEE 48th Annual International Symposium on Computer Architecture (ISCA).
Jason Cong,
Licheng Guo,
Jie Wang,
2021,
FPGA.
Jason Cong,
Peng Li,
Yun Liang,
2013,
FPGA '13.
J. Cong,
J. Cong,
Y. Ding,
1992,
1992 IEEE/ACM International Conference on Computer-Aided Design.
Jason Cong,
M'Lissa Smith,
J. Cong,
1993,
30th ACM/IEEE Design Automation Conference.
Jason Cong,
Adrian Tang,
Tatsuo Itoh,
2017,
International Journal of Microwave and Wireless Technologies.
Jason Cong,
Mau-Chung Frank Chang,
Tatsuo Itoh,
2017
.
Jason Cong,
Yan Zhang,
Jie Wei,
2004,
IEEE/ACM International Conference on Computer Aided Design, 2004. ICCAD-2004..
Jason Cong,
Ronald Tetzlaff,
Gert Cauwenberghs,
2018,
IEEE Journal on Emerging and Selected Topics in Circuits and Systems.
Jason Cong,
Zhiru Zhang,
Bin Liu,
2009,
ISLPED.
Jason Cong,
Sachin S. Sapatnekar,
Yuan Xie,
2009
.
Search for Optimal Systolic Arrays: A Comprehensive Automated Exploration Framework and Lessons Learned
pdf
Jason Cong,
Jie Wang,
J. Cong,
2021,
ArXiv.
Jason Cong,
Di Wu,
Guangyu Sun,
2016,
ISLPED.
Jason Cong,
Peng Zhang,
P. Sadayappan,
2013,
FPGA '13.
Jason Cong,
Zhe Chen,
Hugh T. Blair,
2020,
ISLPED.
Jason Cong,
Zhe Chen,
Hugh T. Blair,
2019,
FPGA.
Jason Cong,
Zhe Chen,
Hugh T. Blair,
2018,
ISLPED.
Xi Chen,
Wei Zhang,
Jason Cong,
2017,
2017 IEEE 25th Annual International Symposium on Field-Programmable Custom Computing Machines (FCCM).
Jason Cong,
Bin Liu,
Glenn Reinman,
2012,
17th Asia and South Pacific Design Automation Conference.
J. Cong,
Yizhou Sun,
Ziniu Hu,
2023,
2305.10838.
Jason Cong,
Wen Gao,
Xiaodong Xie,
2020,
IEEE Transactions on Medical Imaging.
Jason Cong,
Alex A. T. Bui,
William Hsu,
2015,
Comput. Biol. Medicine.
J. Cong,
Yizhou Sun,
Yunsheng Bai,
2022,
FPGA.
Jason Cong,
Cody Hao Yu,
Atefeh Sohrabizadeh,
2020,
ACM Trans. Design Autom. Electr. Syst..
Jason Cong,
Young-kyu Choi,
Yuze Chi,
2021,
FPGA.
Cody Hao Yu,
Chen Zhang,
Zhenman Fang,
2018,
ArXiv.
Automated Accelerator Generation and Optimization with Composable, Parallel and Pipeline Architecture
pdf
Peng Zhang,
Jason Cong,
Peng Wei,
2018,
2018 55th ACM/ESDA/IEEE Design Automation Conference (DAC).
Jason Cong,
Deming Chen,
Peichen Pan,
2006,
Found. Trends Electron. Des. Autom..
Jason Cong,
Peng Li,
Peng Zhang,
2014,
IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems.
Jason Cong,
Bingjun Xiao,
J. Cong,
2011,
2011 IEEE/ACM International Symposium on Nanoscale Architectures.
Jason Cong,
Chin-Chih Chang,
J. Cong,
1999,
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst..
Jason Cong,
Cheng-Kok Koh,
Lei He,
1996,
Integr..
Jason Cong,
Bin Liu,
J. Cong,
2012,
DAC Design Automation Conference 2012.
Jason Cong,
Bin Liu,
Guojie Luo,
2012,
ISPD '12.
Jason Cong,
Chang Wu,
Yuzheng Ding,
1999,
FPGA '99.
Jason Cong,
Jie Wang,
Atefeh Sohrabizadeh,
2020,
FPGA.
Cody Hao Yu,
J. Cong,
Atefeh Sohrabizadeh,
2022,
ACM Trans. Design Autom. Electr. Syst..
Jason Cong,
Peng Wei,
Peipei Zhou,
2018,
2018 IEEE/ACM International Conference on Computer-Aided Design (ICCAD).
Jason Cong,
Peng Wei,
Zhenyuan Ruan,
2019,
2019 IEEE 27th Annual International Symposium on Field-Programmable Custom Computing Machines (FCCM).
Jason Cong,
Zhenman Fang,
Peng Wei,
2016,
2016 IEEE 24th Annual International Symposium on Field-Programmable Custom Computing Machines (FCCM).
Jason Cong,
Peng Wei,
Jie Lei,
2015,
2015 IEEE 23rd Annual International Symposium on Field-Programmable Custom Computing Machines.
Peipei Zhou,
J. Cong,
Deming Chen,
2023,
FPGA.
J. Cong,
Yuze Chi,
Zhiru Zhang,
2022,
FPGA.
Jason Cong,
Yuze Chi,
Ecenur Ustun,
2021,
FPGA.
Jason Cong,
Young-kyu Choi,
Yuze Chi,
2020,
2021 IEEE 29th Annual International Symposium on Field-Programmable Custom Computing Machines (FCCM).
Jason Cong,
Yuze Chi,
J. Cong,
2020,
2020 57th ACM/IEEE Design Automation Conference (DAC).
Peng Zhang,
Jason Cong,
Peng Li,
2017,
2017 IEEE/ACM International Conference on Computer-Aided Design (ICCAD).
Jason Cong,
Zhenman Fang,
Yuchen Hao,
2016,
2016 53nd ACM/EDAC/IEEE Design Automation Conference (DAC).
Jason Cong,
Peng Wei,
Cody Hao Yu,
2021,
FPGA.
Jason Cong,
Peng Wei,
Cody Hao Yu,
2018,
2018 IEEE 26th Annual International Symposium on Field-Programmable Custom Computing Machines (FCCM).
Jason Cong,
Zhenman Fang,
Peipei Zhou,
2016,
2016 IEEE 24th Annual International Symposium on Field-Programmable Custom Computing Machines (FCCM).
Jason Cong,
Peipei Zhou,
Hui Huang,
2014,
2014 IEEE 22nd Annual International Symposium on Field-Programmable Custom Computing Machines.
Jason Cong,
Glenn Reinman,
Michael Gill,
2012,
ISLPED '12.
Jason Cong,
Yun Liang,
Deming Chen,
2011,
2011 IEEE 19th Annual International Symposium on Field-Programmable Custom Computing Machines.
Jason Cong,
Deming Chen,
Alexandros Papakonstantinou,
2009,
2009 IEEE 7th Symposium on Application Specific Processors.
Jason Cong,
Yuchen Hao,
Glenn Reinman,
2017,
2017 IEEE International Symposium on High Performance Computer Architecture (HPCA).
Jason Cong,
Yean-Yow Hwang,
J. Cong,
2000,
TODE.
J. Cong,
Yean-Yow Hwang,
J. Cong,
1996,
33rd Design Automation Conference Proceedings, 1996.
Jason Cong,
Peng Zhang,
Yuxin Wang,
2016,
FPGAs for Software Programmers.
W. Porod,
G. Cauwenberghs,
J. Cong,
2023,
Proceedings of the IEEE.
Jason Cong,
Vivek Sarkar,
Alex A. T. Bui,
2009,
IEEE Design & Test of Computers.
Jason Cong,
Bo Yuan,
J. Cong,
2012,
ISLPED '12.
Jason Cong,
Peng Li,
Peng Zhang,
2015,
FPGA.
Jason Cong,
Kirill Minkovich,
J. Cong,
2008,
FPGA '08.
Jason Cong,
J. Cong,
2012,
ISPD '12.
Jason Cong,
Zhiru Zhang,
Xun Yang,
2003,
ISPD '03.
Wei Jiang,
Yiping Fan,
J. Cong,
2006,
2006 IEEE/ACM International Conference on Computer Aided Design.
Jason Cong,
Majid Sarrafzadeh,
J. Cong,
2000,
ISPD '00.
Jason Cong,
C. L. Liu,
Sai-keung Dong,
1989,
1989 IEEE International Conference on Computer-Aided Design. Digest of Technical Papers.
Jason Cong,
Guangyu Sun,
Chen Zhang,
2014,
EuroSys '14.
Jason Cong,
Zhenman Fang,
Yuchen Hao,
2019,
ACM Trans. Reconfigurable Technol. Syst..
Fan Zhao,
Shyhtsun Felix Wu,
Wayne Huang,
2005,
SEC.
Jason Cong,
C. L. Liu,
D. F. Wong,
1988
.
Jason Cong,
Peng Zhang,
Yi Zou,
2011,
2011 IEEE/ACM International Conference on Computer-Aided Design (ICCAD).
J. Cong,
M. Lukin,
D. Bluvstein,
2023,
ArXiv.
Jason Cong,
Bin Liu,
Peng Zhang,
2012,
LCPC.
J. Cong,
Bochen Tan,
2021,
2021 IEEE/ACM International Conference On Computer Aided Design (ICCAD).
Jason Cong,
Karthik Gururaj,
J. Cong,
2011,
2011 IEEE/ACM International Conference on Computer-Aided Design (ICCAD).
Jason Cong,
Deming Chen,
Junjuan Xu,
2005,
Proceedings of the ASP-DAC 2005. Asia and South Pacific Design Automation Conference, 2005..
Combining computation and communication optimizations in system synthesis for streaming applications
Jason Cong,
Peng Zhang,
Muhuan Huang,
2014,
FPGA.
Jason Cong,
Bin Liu,
Muhuan Huang,
2012,
2012 Design, Automation & Test in Europe Conference & Exhibition (DATE).
Jason Cong,
Junjuan Xu,
J. Cong,
2008,
2008 Design, Automation and Test in Europe.
Jason Cong,
Fei Li,
Lei He,
2004,
FPGA '04.
J. Cong,
Deming Chen,
2004,
Asia and South Pacific Design Automation Conference.
Jason Cong,
Fei Li,
Lei He,
2003,
FPGA '03.
Jason Cong,
C. L. Liu,
J. Cong,
1991,
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst..
Jason Cong,
Fei Li,
Lei He,
2005,
IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems.
Jason Cong,
Fei Li,
Yan Lin,
2004,
FPGA '04.
Jason Cong,
Yiping Fan,
Junjuan Xu,
2009,
TODE.
Revisiting FPGA Acceleration of Molecular Dynamics Simulation with Dynamic Data Flow Behavior in High-Level Synthesis
pdf
Jason Cong,
Zhenman Fang,
Peng Wei,
2016,
ArXiv.
Jason Cong,
Deming Chen,
Yiping Fan,
2010,
IEEE Transactions on Very Large Scale Integration (VLSI) Systems.
Deming Chen,
J. Cong,
Junjuan Xu,
2005,
Proceedings of the ASP-DAC 2005. Asia and South Pacific Design Automation Conference, 2005..
Jason Cong,
Peng Wei,
Cody Hao Yu,
2018,
2018 55th ACM/ESDA/IEEE Design Automation Conference (DAC).
Joseph R. Shinnerl,
Jason Cong,
Min Xie,
2006,
ISPD '06.
Jason Cong,
Zhenman Fang,
Mau-Chung Frank Chang,
2019,
2019 IEEE 27th Annual International Symposium on Field-Programmable Custom Computing Machines (FCCM).
Jason Cong,
Cheng-Kok Koh,
Min Xie,
2004,
IEEE/ACM International Conference on Computer Aided Design, 2004. ICCAD-2004..
T. Chan,
J. Cong,
K. Sze,
2003,
ICCAD-2003. International Conference on Computer Aided Design (IEEE Cat. No.03CH37486).
Jason Cong,
Min Xie,
Chin-Chih Chang,
2003,
IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems.
Jason Cong,
J. Cong,
1998
.
J. Cong,
Yizhou Sun,
Ziniu Hu,
2023,
ArXiv.
Jason Cong,
David Z. Pan,
Xin Yuan,
2003,
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst..
Jason Cong,
David Z. Pan,
Chin-Chih Chang,
2002,
ISPD '02.
Jason Cong,
Chin-Chih Chang,
J. Cong,
2001,
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst..
Jason Cong,
Chin-Chih Chang,
J. Cong,
2000,
ISPD '00.
Jason Cong,
Yuchen Hao,
Glenn Reinman,
2015,
2015 52nd ACM/EDAC/IEEE Design Automation Conference (DAC).
Jason Cong,
Glenn Reinman,
Karthik Gururaj,
2014,
2014 51st ACM/EDAC/IEEE Design Automation Conference (DAC).
Jason Cong,
Muhuan Huang,
Yu-Ting Chen,
2013,
2013 IEEE 31st International Conference on Computer Design (ICCD).
Jason Cong,
Zhiru Zhang,
Deming Chen,
2005
.
Jason Cong,
Zhenman Fang,
Cody Hao Yu,
2016,
SoCC.
Jason Cong,
Mau-Chung Frank Chang,
Eran Socher,
2011,
Low Power Networks-on-Chip.
Jason Cong,
Mau-Chung Frank Chang,
Eran Socher,
2008,
2008 41st IEEE/ACM International Symposium on Microarchitecture.
H. T. Blair,
Garrett J. Blair,
J. Cong,
2020,
Proceedings of the ACM/IEEE International Symposium on Low Power Electronics and Design.
Jason Cong,
Hugh T. Blair,
Daniel Aharoni,
2021,
2021 IEEE Biomedical Circuits and Systems Conference (BioCAS).
Jason Cong,
Deming Chen,
Joey Y. Lin,
2006,
2006 43rd ACM/IEEE Design Automation Conference.
Robert K. Brayton,
Jason Cong,
R. Brayton,
2010,
IEEE Design & Test of Computers.
Robert K. Brayton,
Jason Cong,
R. Brayton,
2010,
IEEE Des. Test Comput..
Jason Cong,
Lieven Vandenberghe,
John Lee,
2008,
ISPD '08.
Jason Cong,
Cheng-Kok Koh,
Lei He,
1997,
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst..
Jason Cong,
Peng Zhang,
Yuxin Wang,
2012,
17th Asia and South Pacific Design Automation Conference.
Jason Cong,
Kwang-Ting Cheng,
Alex A. T. Bui,
2012,
17th Asia and South Pacific Design Automation Conference.
Jason Cong,
Zhenman Fang,
Peng Wei,
2019,
Proceedings of the IEEE.
Jason Cong,
Min Xie,
J. Cong,
2008,
IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems.
J. Cong,
W. Lubio,
N. Shivakumur,
1994,
IEEE/ACM International Conference on Computer-Aided Design.
Jason Cong,
Kei-Yong Khoo,
J. Cong,
1993,
30th ACM/IEEE Design Automation Conference.
Jason Cong,
C. L. Liu,
J. Cong,
1990,
Proceedings of the European Design Automation Conference, 1990., EDAC..
SPA-GCN: Efficient and Flexible GCN Accelerator with Application for Graph Similarity Computation
pdf
Jason Cong,
Yuze Chi,
Atefeh Sohrabizadeh,
2021,
FPGA.
Jason Cong,
Zhenman Fang,
Peipei Zhou,
2018,
2018 IEEE International Symposium on Performance Analysis of Systems and Software (ISPASS).
Jason Cong,
Song Jiang,
Guangyu Sun,
2015,
2015 31st Symposium on Mass Storage Systems and Technologies (MSST).
Jason Cong,
Ming Yan,
Jianwen Chen,
2012,
IEEE Journal on Emerging and Selected Topics in Circuits and Systems.
Jason Cong,
Andrew B. Kahng,
Lars W. Hagen,
1992,
[1992] Proceedings 29th ACM/IEEE Design Automation Conference.
Jason Cong,
Jie Fang,
Kei-Yong Khoo,
2000,
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst..
Jason Cong,
Peng Zhang,
Yi Zou,
2012,
J. Electr. Comput. Eng..
Jason Cong,
Deming Chen,
Wen-mei W. Hwu,
2013,
TECS.
Jason Cong,
Bingjun Xiao,
J. Cong,
2014,
IEEE Transactions on Very Large Scale Integration (VLSI) Systems.
Jason Cong,
Songjie Xu,
J. Cong,
1998,
Proceedings 1998 Design and Automation Conference. 35th DAC. (Cat. No.98CH36175).
Jason Cong,
Xin Yuan,
Hui Huang,
2005,
TODE.
Jason Cong,
Cheng-Kok Koh,
J. Cong,
1995,
Proceedings of ISCAS'95 - International Symposium on Circuits and Systems.
Jason Cong,
Chang Wu,
J. Cong,
2002,
ISPD '02.
Jason Cong,
Peng Wei,
Ramesh Karri,
2021,
2021 Formal Methods in Computer Aided Design (FMCAD).
Jason Cong,
Hugh T. Blair,
Allan Wu,
2014,
Philosophical Transactions of the Royal Society B: Biological Sciences.
Jason Cong,
Zhiru Zhang,
Deming Chen,
2016,
IET Cyper-Phys. Syst.: Theory & Appl..
Jon C. Hiller,
C. J. Holland,
Benjamin C. Lee,
2012
.
Jason Cong,
Vivek Sarkar,
Yi Zou,
2012,
LCTES '12.
Jason Cong,
Yi Zou,
J. Cong,
2009,
2009 IEEE/ACM International Conference on Computer-Aided Design - Digest of Technical Papers.
Jason Cong,
Patrick H. Madden,
J. Cong,
1998,
Proceedings 1998 Design and Automation Conference. 35th DAC. (Cat. No.98CH36175).
Jason Cong,
Young-kyu Choi,
J. Cong,
2018,
2018 IEEE/ACM International Conference on Computer-Aided Design (ICCAD).
Jason Cong,
Zhiru Zhang,
Wei Jiang,
2006,
2006 IEEE International SOC Conference.
Jason Cong,
Guojie Luo,
J. Cong,
2009,
2009 Asia and South Pacific Design Automation Conference.
Jason Cong,
Yiyu Shi,
Guojie Luo,
2013,
IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems.
Jason Cong,
Glenn Reinman,
Michael Gill,
2012,
DAC Design Automation Conference 2012.
Jason Cong,
Cody Hao Yu,
Zhe Chen,
2020,
2020 57th ACM/IEEE Design Automation Conference (DAC).
Jason Cong,
Cody Hao Yu,
Yuan Zhou,
2019,
FPGA.
Jason Cong,
Peng Wei,
Cody Hao Yu,
2017,
2017 54th ACM/EDAC/IEEE Design Automation Conference (DAC).
Jason Cong,
Yan Zhang,
Jie Wei,
2007,
2007 Asia and South Pacific Design Automation Conference.
Jason Cong,
Yiyu Shi,
Guojie Luo,
2011,
2011 48th ACM/EDAC/IEEE Design Automation Conference (DAC).
Jason Cong,
Mau-Chung Frank Chang,
Glenn Reinman,
2012,
IEEE Journal on Emerging and Selected Topics in Circuits and Systems.
Jason Cong,
Glenn Reinman,
Kanit Therdsteerasukdi,
2011,
2011 IEEE 29th International Conference on Computer Design (ICCD).
Jason Cong,
Mau-Chung Frank Chang,
Tatsuo Itoh,
2019,
IEEE Journal of Solid-State Circuits.
Jason Cong,
Kirill Minkovich,
J. Cong,
2007,
FPGA '07.
Jason Cong,
Songwu Lu,
Lixia Zhang,
2009,
MobiCom '09.
Jason Cong,
Hugh T. Blair,
Di Wu,
2013,
2013 IEEE 21st Annual International Symposium on Field-Programmable Custom Computing Machines.
Jason Cong,
Andrew B. Kahng,
L. Hagen,
1991,
[1991] Proceedings Fourth Annual IEEE International ASIC Conference and Exhibit.
Jason Cong,
Yuze Chi,
Jiajie Li,
2020,
FPGA.
Jason Cong,
Jie Wang,
Yun Liang,
2020,
2020 IEEE/ACM International Conference On Computer Aided Design (ICCAD).
Jason Cong,
Bin Liu,
Junjuan Xu,
2010,
2010 Design, Automation & Test in Europe Conference & Exhibition (DATE 2010).
Jason Cong,
Zhiru Zhang,
Deming Chen,
2007,
2007 Asia and South Pacific Design Automation Conference.
Jason Cong,
Deming Chen,
Junjuan Xu,
2006,
TODE.
Jason Cong,
Zhiru Zhang,
Glenn Reinman,
2005,
FPGA '05.
R. Rivest,
C. Leiserson,
J. Cong,
2022
.
J. Cong,
Deming Chen,
Yiping Fan,
2022
.
Jason Cong,
Mau-Chung Frank Chang,
Yanghyo Kim,
2013,
IEEE Microwave and Wireless Components Letters.
Jason Cong,
Mau-Chung Frank Chang,
Yan Zhao,
2017,
2017 IEEE MTT-S International Microwave Symposium (IMS).
Jason Cong,
Karthik Gururaj,
J. Cong,
2009,
2009 Design, Automation & Test in Europe Conference & Exhibition.
Faming Liang,
Jason Cong,
Wing Hung Wong,
2000,
Proceedings 2000. Design Automation Conference. (IEEE Cat. No.00CH37106).
Jason Cong,
Zhiru Zhang,
Guoling Han,
2005,
ICCAD-2005. IEEE/ACM International Conference on Computer-Aided Design, 2005..
Joseph R. Shinnerl,
Jason Cong,
Min Xie,
2013
.
Joseph R. Shinnerl,
Jason Cong,
Tony F. Chan,
2003
.
Jason Cong,
Peng Li,
Yuxin Wang,
2014,
FPGA.
Jason Cong,
Young-kyu Choi,
Yuze Chi,
2020,
IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems.
Jason Cong,
Yun Liang,
Deming Chen,
2013,
2013 50th ACM/EDAC/IEEE Design Automation Conference (DAC).
Jason Cong,
Peng Li,
Peng Zhang,
2012,
2012 IEEE/ACM International Conference on Computer-Aided Design (ICCAD).
Jason Cong,
Yi Zou,
Hui Huang,
2011,
2011 48th ACM/EDAC/IEEE Design Automation Conference (DAC).
Jason Cong,
Bin Liu,
Wei Jiang,
2009,
2009 IEEE/ACM International Conference on Computer-Aided Design - Digest of Technical Papers.
Jason Cong,
Ming Yan,
Alex A. T. Bui,
2011
.
Jason Cong,
Andrew B. Kahng,
Los Angeles,
1992
.
Jason Cong,
Adrian Tang,
Mau-Chung Frank Chang,
2018,
2018 IEEE International Solid - State Circuits Conference - (ISSCC).
Jason Cong,
Sung Kyu Lim,
J. Cong,
2000,
IEEE/ACM International Conference on Computer Aided Design. ICCAD - 2000. IEEE/ACM Digest of Technical Papers (Cat. No.00CH37140).
Jason Cong,
Chak-Kuen Wong,
Majid Sarrafzadeh,
1992,
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst..
J. Cong,
Zhiru Zhang,
Yiping Fan,
2004,
FPGA '04.
Honching Li,
Chang Wu,
J. Cong,
1999,
Proceedings 1999 Design Automation Conference (Cat. No. 99CH36361).
Jason Cong,
Andrew B. Kahng,
Kwok-Shing Leung,
1997,
ISPD '97.
Joseph R. Shinnerl,
Jason Cong,
Min Xie,
2005,
ISPD '05.
Jason Cong,
Yan Zhang,
Min Xie,
2005,
IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems.
Joseph R. Shinnerl,
Jason Cong,
Tony F. Chan,
2000,
IEEE/ACM International Conference on Computer Aided Design. ICCAD - 2000. IEEE/ACM Digest of Technical Papers (Cat. No.00CH37140).
Jason Cong,
Gi-Joon Nam,
J. Cong,
2008
.
Jason Cong,
Andrew B. Kahng,
Gabriel Robins,
1993,
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst..
Jason Cong,
Joseph R. Shinnerl,
J. Cong,
2018,
Handbook of Approximation Algorithms and Metaheuristics.
Jason Cong,
Guangyu Sun,
Nong Xiao,
2019,
IEEE Transactions on Computers.
Jason Cong,
Sinan Kaptanoglu,
J. Cong,
1998,
FPGA.
Rob A. Rutenbar,
J. Cong,
T. Gao,
2006,
IEEE/ACM International Conference on Computer-Aided Design.
Jason Cong,
Xin Yuan,
David Z. Pan,
2001
.
Jason Cong,
Wei Jiang,
Guoling Han,
2009,
IEEE Transactions on Very Large Scale Integration (VLSI) Systems.
Jason Cong,
Wei Jiang,
Guoling Han,
2007,
FPGA '07.
J. Cong,
Guangyu Sun,
Yijin Guan,
2015,
FPGA.
Jason Cong,
Jie Fang,
Kei-Yong Khoo,
1999,
ISPD '99.
Jason Cong,
Guojie Luo,
J. Cong,
2010,
IPSJ Trans. Syst. LSI Des. Methodol..
J. Cong,
M. Lukin,
D. Bluvstein,
2023,
ArXiv.
Jason Cong,
Zhiru Zhang,
Yiping Fan,
2008
.
Jason Cong,
Kirill Minkovich,
J. Cong,
2006,
IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems.
L. Kleinrock,
E. Gafni,
J. Cong,
1995
.
A. Kahng,
J. Cong,
Cheng-Kok Koh,
1995,
International Conference on Computer Aided Design.
Jason Cong,
Cheng-Kok Koh,
Kwok-Shing Leung,
1996,
Proceedings of 1996 International Symposium on Low Power Electronics and Design.
Jason Cong,
Cheng-Kok Koh,
J. Cong,
1994,
IEEE/ACM International Conference on Computer-Aided Design.
T. Chan,
J. Cong,
K. Sze,
2006
.
Joseph R. Shinnerl,
Jason Cong,
Min Xie,
2005,
TODE.
Min Xie,
J. Cong,
M. Romesis,
2003,
ICCAD-2003. International Conference on Computer Aided Design (IEEE Cat. No.03CH37486).
Jason Cong,
Min Xie,
Michail Romesis,
2003,
ISPD '03.
Jason Cong,
Min Xie,
Yan Zhang,
2002,
IEEE/ACM International Conference on Computer Aided Design, 2002. ICCAD 2002..
Jason Cong,
Jie Fang,
VI YanZhang,
2001,
IEEE/ACM International Conference on Computer Aided Design. ICCAD 2001. IEEE/ACM Digest of Technical Papers (Cat. No.01CH37281).
Jason Cong,
Sung Kyu Lim,
Chang Wu,
2000,
Proceedings 37th Design Automation Conference.
Jason Cong,
Xin Yuan,
J. Cong,
2000,
Proceedings 37th Design Automation Conference.
Jason Cong,
Jie Fang,
Kei-Yong Khoo,
2000,
ISPD '00.
Jason Cong,
Sung Kyu Lim,
J. Cong,
2000,
Proceedings 2000. Design Automation Conference. (IEEE Cat. No.00CH37106).
Jason Cong,
Jie Fang,
Kei-Yong Khoo,
1999,
1999 IEEE/ACM International Conference on Computer-Aided Design. Digest of Technical Papers (Cat. No.99CH37051).
Joseph R. Shinnerl,
Jason Cong,
J. Cong,
2003
.
A. Kahng,
J. Cong,
K. Leung,
1998,
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst..
Faming Liang,
Jason Cong,
Wing Hung Wong,
1999,
Proceedings of the ASP-DAC '99 Asia and South Pacific Design Automation Conference 1999 (Cat. No.99EX198).
Jason Cong,
Yuchun Ma,
J. Cong,
2010
.
Jason Cong,
Miodrag Potkonjak,
Zhiru Zhang,
2008,
2008 Asia and South Pacific Design Automation Conference.
Jason Cong,
Guojie Luo,
J. Cong,
2009,
2009 International Conference on Communications, Circuits and Systems.
Jason Cong,
Guojie Luo,
J. Cong,
2011
.
P. V. Srinivas,
J. Cong,
D. Pan,
2001,
Proceedings of the ASP-DAC 2001. Asia and South Pacific Design Automation Conference 2001 (Cat. No.01EX455).
Jason Cong,
Bingjun Xiao,
J. Cong,
2012,
FPGA '12.
Jason Cong,
J. Cong,
1991,
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst..
Jason Cong,
Bingjun Xiao,
J. Cong,
2013,
2013 50th ACM/EDAC/IEEE Design Automation Conference (DAC).
Jason Cong,
Fei Li,
Lei He,
2010,
IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems.
Jason Cong,
Gang Chen,
J. Cong,
2004,
FPL.
Jason Cong,
Xin Yuan,
Hui Huang,
2000,
FPGA '00.
J. Cong,
Tianyi Cui,
2017
.
Jason Cong,
Yuan Xie,
Yijin Guan,
2020,
IEEE Transactions on Computers.
Jason Cong,
J. Cong,
1999,
1999 International Symposium on VLSI Technology, Systems, and Applications. Proceedings of Technical Papers. (Cat. No.99TH8453).
Jason Cong,
Sung Kyu Lim,
Toshiyuki Shibuya,
1997,
1997 Proceedings of IEEE International Conference on Computer Aided Design (ICCAD).
Jason Cong,
Adrian Tang,
Mau-Chung Frank Chang,
2012,
2012 IEEE International Solid-State Circuits Conference.
Jason Cong,
Jongsun Kim,
Mau-Chung Frank Chang,
2011,
2011 IEEE International Solid-State Circuits Conference.
Jason Cong,
Olivier Coudert,
Sharad Malik,
2000,
IEEE/ACM International Conference on Computer Aided Design. ICCAD - 2000. IEEE/ACM Digest of Technical Papers (Cat. No.00CH37140).
Jason Cong,
Sung Kyu Lim,
J. Cong,
2004,
IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems.
Large Scale Circuit Partitioning With Loose/Stable Net Removal And Signal Flow Based Hierarchical Cl
Jason Cong,
Sung Kyu Lim,
Toshiyuki Shibuya,
1997,
ICCAD 1997.
Jason Cong,
Hui Huang,
Mohammad Ali Ghodrat,
2016,
2016 21st Asia and South Pacific Design Automation Conference (ASP-DAC).
Jason Cong,
Guojie Luo,
J. Cong,
2010
.
Jason Cong,
Cody Hao Yu,
Mau-Chung Frank Chang,
2016,
2016 IEEE 24th Annual International Symposium on Field-Programmable Custom Computing Machines (FCCM).