M. Martonosi

发表

Margaret Martonosi, David M. Brooks, D. Brooks, 2001, Proceedings HPCA Seventh International Symposium on High-Performance Computer Architecture.

Margaret Martonosi, Ozlem Bilgir, M. Martonosi, 2012, CHANTS '12.

Margaret Martonosi, Martin Rötteler, M. Martonosi, 2019, ArXiv.

Margaret Martonosi, Michael D. Smith, Mark Horowitz, 1995 .

Margaret Martonosi, David M. Brooks, D. Brooks, 1999, CANPC.

Margaret Martonosi, Daniel Lustig, Caroline Trippel, 2015, 2015 ACM/IEEE 42nd Annual International Symposium on Computer Architecture (ISCA).

Margaret Martonosi, Hongli Zhang, M. Martonosi, 2001, PPSC.

Margaret Martonosi, Ali JavadiAbhari, Prakash Murali, 2020, IEEE Micro.

Sharad Malik, Margaret Martonosi, Yavuz Yetim, 2013, 2013 Design, Automation & Test in Europe Conference & Exhibition (DATE).

Thu D. Nguyen, R. Bianchini, M. Martonosi, 2009 .

Margaret Martonosi, Kevin Skadron, Stefanos Kaxiras, 2002, IEEE Computer Architecture Letters.

Margaret Martonosi, Christopher M. Sadler, M. Martonosi, 2007, MobiSys '07.

Margaret Martonosi, James Donald, M. Martonosi, 2006, IEEE Computer Architecture Letters.

Margaret Martonosi, Li-Shiuan Peh, Emmanouil Koukoumidis, 2011, MobiSys '11.

Margaret Martonosi, Thu D. Nguyen, Ricardo Bianchini, 2011 .

Margaret Martonosi, Chau-Wen Tseng, Mary W. Hall, 1996, IEEE Trans. Parallel Distributed Syst..

Margaret Martonosi, Tyler Sorensen, Esin Tureci, 2020, Proc. ACM Program. Lang..

Margaret Martonosi, Zhigang Hu, Russ Joseph, 2004, 10th International Symposium on High Performance Computer Architecture (HPCA'04).

P. Ashar, M. Martonosi, H. Zhong, 1998 .

M. Martonosi, S. Kaxiras, Zhigang Hu, 2002, Proceedings 29th Annual International Symposium on Computer Architecture.

Carole-Jean Wu, Margaret Martonosi, Aamer Jaleel, 2011, 2011 44th Annual IEEE/ACM International Symposium on Microarchitecture (MICRO).

Margaret Martonosi, David M. Brooks, D. Brooks, 1999, Proceedings Fifth International Symposium on High-Performance Computer Architecture.

Yau-Tsun Steven Li, S. Malik, M. Martonosi, 1997, Proceedings of the 34th Design Automation Conference.

Margaret Martonosi, Vivek Tiwari, David M. Brooks, 2000, Proceedings of 27th International Symposium on Computer Architecture (IEEE Cat. No.RS00201).

Margaret Martonosi, Abhishek Bhattacharjee, M. Martonosi, 2009, 2009 18th International Conference on Parallel Architectures and Compilation Techniques.

Margaret Martonosi, Frederic T. Chong, Pranav Gokhale, 2019, IEEE Transactions on Quantum Engineering.

M. Martonosi, C. Isci, 2003, Proceedings. 36th Annual IEEE/ACM International Symposium on Microarchitecture, 2003. MICRO-36..

Margaret Martonosi, Daniel Lustig, Caroline Trippel, 2018 .

Kaitlin N. Smith, Gokul Subramanian Ravi, M. Martonosi, 2022, 2022 IEEE International Symposium on High-Performance Computer Architecture (HPCA).

S. Malik, M. Martonosi, Yavuz Yetim, 2014 .

K. Skadron, Philo Juang, S. Kaxiras, 2002, Proceedings of the International Symposium on Low Power Electronics and Design.

Margaret Martonosi, Michael D. Smith, Mark Horowitz, 1996, ISCA.

M. Martonosi, S. Kaxiras, Zhigang Hu, 2001, Proceedings 28th Annual International Symposium on Computer Architecture.

Sharad Malik, Margaret Martonosi, Peixin Zhong, 1997 .

Margaret Martonosi, John Wickerson, Alastair F. Donaldson, 2021, Proc. ACM Program. Lang..

M. Martonosi, Prakash Murali, K. Brown, 2022, Commun. ACM.

Margaret Martonosi, Yipeng Huang, M. Martonosi, 2019, 2019 ACM/IEEE 46th Annual International Symposium on Computer Architecture (ISCA).

Wei Tang, Jeffrey Larson, Martin Suchara, 2020, ASPLOS.

Margaret Martonosi, Frederic T. Chong, Andrew W. Cross, 2020, Proceedings of the IEEE.

Margaret Martonosi, Ali Javadi-Abhari, Prakash Murali, 2019, 2019 ACM/IEEE 46th Annual International Symposium on Computer Architecture (ISCA).

Margaret Martonosi, Frederic T. Chong, Jonathan M. Baker, 2019, ASPLOS.

Margaret Martonosi, Prakash Murali, Lingling Lao, 2021, 2021 ACM/IEEE 48th Annual International Symposium on Computer Architecture (ISCA).

Margaret Martonosi, Walter Willinger, Ramón Cáceres, 2012, MobiSys '12.

Kelly A. Shaw, Themis Melissaris, Kelly Shaw, 2020, 2020 53rd Annual IEEE/ACM International Symposium on Microarchitecture (MICRO).

Margaret Martonosi, Daniel Lustig, Yatin A. Manerkar, 2015, 2015 48th Annual IEEE/ACM International Symposium on Microarchitecture (MICRO).

Margaret Martonosi, Daniel Lustig, Michael Pellauer, 2014, 2014 47th Annual IEEE/ACM International Symposium on Microarchitecture.

Sharad Malik, Margaret Martonosi, Somnath Ghosh, 1998, ASPLOS VIII.

Margaret Martonosi, Ramón Cáceres, Richard A. Becker, 2022 .

Margaret Martonosi, Frederic T. Chong, Diana Franklin, 2017, Nature.

Margaret Martonosi, Daniel Lustig, M. Martonosi, 2013, 2013 IEEE 19th International Symposium on High Performance Computer Architecture (HPCA).

Margaret Martonosi, Frederic T. Chong, Diana Franklin, 2017, 2017 50th Annual IEEE/ACM International Symposium on Microarchitecture (MICRO).

Margaret Martonosi, Frederic T. Chong, Diana Franklin, 2019, Microprocess. Microsystems.

Margaret Martonosi, Frederic T. Chong, Diana Franklin, 2018, 2018 51st Annual IEEE/ACM International Symposium on Microarchitecture (MICRO).

Margaret Martonosi, Frederic T. Chong, Martin Suchara, 2019, 1907.13623.

Margaret Martonosi, Frederic T. Chong, Diana Franklin, 2020, 2020 ACM/IEEE 47th Annual International Symposium on Computer Architecture (ISCA).

Margaret Martonosi, Frederic T. Chong, Shruti Patil, 2015, Parallel Comput..

Margaret Martonosi, Kenneth R. Brown, Prakash Murali, 2020, 2020 ACM/IEEE 47th Annual International Symposium on Computer Architecture (ISCA).

Margaret Martonosi, Frederic T. Chong, Chen-Fu Chiang, 2014, 2014 IEEE International Symposium on Workload Characterization (IISWC).

M. Martonosi, Martin Suchara, Kaiwen Gui, 2021, 2021 International Conference on Rebooting Computing (ICRC).

Dillon C. Yost, S. Girvin, M. Martonosi, 2022, 2212.06167.

Frederic T. Chong, Pranav Gokhale, Martin Suchara, 2020, 2020 IEEE International Conference on Quantum Computing and Engineering (QCE).

Margaret Martonosi, Prakash Murali, David C. McKay, 2019, ASPLOS.

Margaret Martonosi, Frederic T. Chong, Shruti Patil, 2014, Conf. Computing Frontiers.

Margaret Martonosi, Anoop Gupta, Thomas E. Anderson, 1992, SIGMETRICS '92/PERFORMANCE '92.

Guy Van den Broeck, Todd Millstein, Margaret Martonosi, 2021, ASPLOS.

Margaret Martonosi, Yipeng Huang, M. Martonosi, 2018, PLATEAU@SPLASH.

F. Chong, M. Martonosi, Martin Suchara, 2020, 2001.05983.

M. Martonosi, Yipeng Huang, 2019 .

Margaret Martonosi, Frederic T. Chong, Diana Franklin, 2015, ASPLOS.

Margaret Martonosi, Ramón Cáceres, Rebecca N. Wright, 2013, 2013 IEEE International Conference on Big Data.

Margaret Martonosi, Antoine Bagula, Bhaskaran Raman, 2013 .

Margaret Martonosi, Stephen G. Kobourov, Ramón Cáceres, 2011, Pervasive.

Margaret Martonosi, Nadathur Satish, Lisa Wu, 2016, 2016 49th Annual IEEE/ACM International Symposium on Microarchitecture (MICRO).

Margaret Martonosi, Frederic T. Chong, Prakash Murali, 2019, Microprocess. Microsystems.

Margaret Martonosi, Qiang Wu, Douglas W. Clark, 2004, ASPLOS XI.

Margaret Martonosi, Pei Zhang, Christopher M. Sadler, 2004, SenSys '04.

Cheng Liao, Douglas W. Clark, Margaret Martonosi, 1999, Measurement and Modeling of Computer Systems.

Cheng Liao, Douglas W. Clark, Margaret Martonosi, 1999 .

Carole-Jean Wu, Margaret Martonosi, M. Martonosi, 2011, (IEEE ISPASS) IEEE INTERNATIONAL SYMPOSIUM ON PERFORMANCE ANALYSIS OF SYSTEMS AND SOFTWARE.

Sharad Malik, Margaret Martonosi, Somnath Ghosh, 1999, TOPL.

Margaret Martonosi, Wenhao Jia, Kelly A. Shaw, 2012, 2012 IEEE International Symposium on Performance Analysis of Systems & Software.

Margaret Martonosi, Gilberto Contreras, Canturk Isci, 2006, 2006 39th Annual IEEE/ACM International Symposium on Microarchitecture (MICRO'06).

Margaret Martonosi, Yong Wang, Sushant Jain, 2005, WDTN '05.

Margaret Martonosi, Vincent Lenders, M. Martonosi, 2009, IEEE Transactions on Mobile Computing.

Margaret Martonosi, David Wentzlaff, Aninda Manocha, 2021, 2021 58th ACM/IEEE Design Automation Conference (DAC).

Margaret Martonosi, Canturk Isci, M. Martonosi, 2003, Proceedings. 36th Annual IEEE/ACM International Symposium on Microarchitecture, 2003. MICRO-36..

M. Martonosi, C. Volinsky, R. Cáceres, 2013, CACM.

Margaret Martonosi, Alper Buyuktosunoglu, Canturk Isci, 2005, IEEE Micro.

Margaret Martonosi, Qiang Wu, Douglas W. Clark, 2005, 11th International Symposium on High-Performance Computer Architecture.

Margaret Martonosi, Wenhao Jia, Kelly A. Shaw, 2014, 2014 IEEE 20th International Symposium on High Performance Computer Architecture (HPCA).

Margaret Martonosi, Wenhao Jia, Kelly A. Shaw, 2012, ICS '12.

Margaret Martonosi, Daniel Lustig, Abhishek Bhattacharjee, 2011, 2011 IEEE 17th International Symposium on High Performance Computer Architecture.

Margaret Martonosi, Abhishek Bhattacharjee, M. Martonosi, 2010, ASPLOS XV.

Erik Hagersten, Margaret Martonosi, Per Stenström, 2000, Adv. Comput..

M. Martonosi, I. Chuang, A. Houck, 2023, ArXiv.

Margaret Martonosi, Juan L. Aragón, Tae Jun Ham, 2017, ACM Trans. Archit. Code Optim..

Margaret Martonosi, Juan L. Aragón, Tae Jun Ham, 2015, 2015 48th Annual IEEE/ACM International Symposium on Microarchitecture (MICRO).

Margaret Martonosi, Ting Liu, M. Martonosi, 2003, PPoPP '03.

Sharad Malik, Margaret Martonosi, Somnath Ghosh, 2000, ICS '00.

Margaret Martonosi, Qiang Wu, David M. Brooks, 2005, 38th Annual IEEE/ACM International Symposium on Microarchitecture (MICRO'05).

Margaret Martonosi, Qiang Wu, David M. Brooks, 2006, IEEE Micro.

Margaret Martonosi, Peixin Zhong, M. Martonosi, 1996, Other Conferences.

Margaret Martonosi, Stefanos Kaxiras, M. Martonosi, 2008, Computer Architecture Techniques for Power-Efficiency.

Margaret Martonosi, Kelly A. Shaw, Themis Melissaris, 2019, 2019 Fourth International Conference on Fog and Mobile Edge Computing (FMEC).

Yong Wang, Margaret Martonosi, Li-Shiuan Peh, 2006, CHANTS '06.

Margaret Martonosi, Abhishek Bhattacharjee, Gilberto Contreras, 2011, TACO.

Margaret Martonosi, Thu D. Nguyen, Ricardo Bianchini, 2010, International Conference on Green Computing.

Margaret Martonosi, Pradip Bose, Chen-Yong Cher, 2006, 2006 39th Annual IEEE/ACM International Symposium on Microarchitecture (MICRO'06).

Margaret Martonosi, Christopher M. Sadler, M. Martonosi, 2006, SenSys '06.

Margaret Martonosi, Ozlem Bilgir, M. Martonosi, 2015, 2015 IEEE Conference on Computer Communications (INFOCOM).

Margaret Martonosi, Sibren Isaacman, M. Martonosi, 2011, WWW.

Luca P. Carloni, Margaret Martonosi, Juan L. Aragón, 2020, 2020 IEEE International Symposium on Performance Analysis of Systems and Software (ISPASS).

Tae Jun Ham, M. Martonosi, L. Carloni, 2020, ArXiv.

Yong Wang, Margaret Martonosi, Li-Shiuan Peh, 2007, MOCO.

Francine Berman, Rob A. Rutenbar, Deborah Estrin, 2018, Commun. ACM.

Margaret Martonosi, Kevin Skadron, Douglas W. Clark, 2000, J. Instr. Level Parallelism.

Margaret Martonosi, Gilberto Contreras, Gilberto Contreras, 2006, 2006 IEEE International Symposium on Workload Characterization.

Margaret Martonosi, Daniel Lustig, Caroline Trippel, 2016, ASPLOS.

Margaret Martonosi, Abhishek Bhattacharjee, M. Martonosi, 2009, ISCA '09.

Margaret Martonosi, James Donald, M. Martonosi, 2006, 33rd International Symposium on Computer Architecture (ISCA'06).

Stratis Ioannidis, Margaret Martonosi, Augustin Chaintreau, 2011, 2011 49th Annual Allerton Conference on Communication, Control, and Computing (Allerton).

Stratis Ioannidis, Margaret Martonosi, Augustin Chaintreau, 2011, RecSys '11.

Margaret Martonosi, Pei Zhang, M. Martonosi, 2008, 2008 International Conference on Information Processing in Sensor Networks (ipsn 2008).

Yong Wang, Margaret Martonosi, Li-Shiuan Peh, 2006, REALMAN '06.

Yong Wang, Margaret Martonosi, Li-Shiuan Peh, 2006, 2006 3rd Annual IEEE Communications Society on Sensor and Ad Hoc Communications and Networks.

M. Martonosi, G. Heiser, Marcelo Orenes-Vera, 2023 .

Margaret Martonosi, Thu D. Nguyen, Ricardo Bianchini, 2010, SIGMETRICS '10.

Margaret Martonosi, Michael D. Smith, Mark Horowitz, 1998, TOCS.

Carole-Jean Wu, Margaret Martonosi, Aamer Jaleel, 2011, 2011 44th Annual IEEE/ACM International Symposium on Microarchitecture (MICRO).

M. Martonosi, M.D. Smith, T.C. Mowry, 1996, 23rd Annual International Symposium on Computer Architecture (ISCA'96).

Margaret Martonosi, Gilberto Contreras, Gilberto Contreras, 2008, 2008 IEEE International Symposium on Workload Characterization.

Yong Wang, Margaret Martonosi, Li-Shiuan Peh, 2005, SenSys '05.

M. Martonosi, D. Wentzlaff, Esin Tureci, 2023, ArXiv.

M. Martonosi, D. Wentzlaff, Esin Tureci, 2022, 2023 IEEE International Symposium on High-Performance Computer Architecture (HPCA).

Juan L. Aragón, M. Martonosi, Tyler Sorensen, 2021, ACM Trans. Archit. Code Optim..

Juan L. Aragón, Tyler Sorensen, Margaret Martonosi, 2020, 2020 IEEE/ACM International Conference On Computer Aided Design (ICCAD).

Juan L. Aragón, M. Martonosi, Esin Tureci, 2022, 2022 IEEE International Symposium on Workload Characterization (IISWC).

Margaret Martonosi, Juan L. Aragón, Tae Jun Ham, 2019, ACM Trans. Archit. Code Optim..

Juan L. Aragón, M. Martonosi, Aninda Manocha, 2023, IEEE Transactions on Computers.

Margaret Martonosi, Stefanos Kaxiras, Zhigang Hu, 2003, The Ninth International Symposium on High-Performance Computer Architecture, 2003. HPCA-9 2003. Proceedings..

Margaret Martonosi, Li-Shiuan Peh, Emmanouil Koukoumidis, 2012, IEEE Transactions on Mobile Computing.

Themis Melissaris, Kelly Shaw, Margaret Martonosi, 2020, ArXiv.

M. Martonosi, S. Kaxiras, 2008, Computer Architecture Techniques for Power-Efficiency.

Margaret Martonosi, Darko Stefanovic, M. Martonosi, 2000, Euro-Par.

Margaret Martonosi, Mark Heinrich, David Ofelt, 1996, SIGMETRICS '96.

M. Martonosi, Martin Suchara, M. Perlin, 2021, 2023 IEEE International Conference on Quantum Computing and Engineering (QCE).

Sharad Malik, Margaret Martonosi, Pranav Ashar, 1998, Proceedings 1998 Design and Automation Conference. 35th DAC. (Cat. No.98CH36175).

Sharad Malik, Margaret Martonosi, Pranav Ashar, 1999, IEEE Trans. Comput. Aided Des. Integr. Circuits Syst..

M. Martonosi, Prakash Murali, D. McKay, 2020, ASPLOS.

Margaret Martonosi, Teague Tomesh, M. Martonosi, 2021, IEEE Micro.

Margaret Martonosi, Douglas W. Clark, Malena Mesarina, 1996, SPDT '96.

Andrew Litteken, Yung-Ching Fan, Devina Singh, 2020, Quantum Science and Technology.

Margaret Martonosi, Kevin Skadron, Douglas W. Clark, 1998, Proceedings. 31st Annual ACM/IEEE International Symposium on Microarchitecture.

Mary W. Hall, M. Martonosi, C. Tseng, 1996, International journal of parallel programming.

Margaret Martonosi, Chau-Wen Tseng, Mary W. Hall, 1995, PACT.

Liviu Iftode, Margaret Martonosi, Cheng Liao, 1998, ICS '98.

L. Iftode, Stefanos N. Damianakis, M. Martonosi, 1998, Proceedings. 25th Annual International Symposium on Computer Architecture (Cat. No.98CB36235).

Margaret Martonosi, Daniel Lustig, Caroline Trippel, 2018, 2018 51st Annual IEEE/ACM International Symposium on Microarchitecture (MICRO).

Margaret Martonosi, Abhishek Bhattacharjee, Gilberto Contreras, 2008, Proceeding of the 13th international symposium on Low power electronics and design (ISLPED '08).

Margaret Martonosi, Roy Dz-Ching Ju, Gilberto Contreras, 2007, TECS.

Margaret Martonosi, Canturk Isci, M. Martonosi, 2006, The Twelfth International Symposium on High-Performance Computer Architecture, 2006..

M. Martonosi, C. Isci, M. Martonosi, 2005, IEEE International. 2005 Proceedings of the IEEE Workload Characterization Symposium, 2005..

Margaret Martonosi, Gilberto Contreras, Gilberto Contreras, 2005, ISLPED '05. Proceedings of the 2005 International Symposium on Low Power Electronics and Design, 2005..

Margaret Martonosi, Roy Dz-Ching Ju, Gilberto Contreras, 2004, LCTES '04.

Margaret Martonosi, Canturk Isci, M. Martonosi, 2003, 2003 IEEE International Conference on Communications (Cat. No.03CH37441).

M. Martonosi, M. Martonosi, R. Joseph, 2001, ISLPED'01: Proceedings of the 2001 International Symposium on Low Power Electronics and Design (IEEE Cat. No.01TH8581).

Margaret Martonosi, Stephen G. Kobourov, Ramón Cáceres, 2011, 2011 IEEE International Conference on Pervasive Computing and Communications Workshops (PERCOM Workshops).

Sharad Malik, Margaret Martonosi, Yavuz Yetim, 2015, ASPLOS.

Margaret Martonosi, Kevin Skadron, Stefanos Kaxiras, 2004, TACO.

Margaret Martonosi, Kevin Skadron, Douglas W. Clark, 1999, IEEE Trans. Computers.

Margaret Martonosi, Kevin Skadron, Zhigang Hu, 2002, Proceedings. IEEE International Conference on Computer Design: VLSI in Computers and Processors.