V. Reddi

发表

David Patterson, Carole-Jean Wu, Gu-Yeon Wei, 2019, MLSys.

Maximilian Lam, David Patterson, Xinyuan Huang, 2020, ArXiv.

Harish Patil, Vijay Janapa Reddi, Kim M. Hazelwood, 2005, PLDI '05.

Ankit Patel, Vijay Janapa Reddi, Ramesh Illikkal, 2020, 2020 IEEE International Symposium on High Performance Computer Architecture (HPCA).

David M. Brooks, Margo I. Seltzer, Peter Bailis, 2011, 2011 48th ACM/EDAC/IEEE Design Automation Conference (DAC).

Meeta Sharma Gupta, Michael D. Smith, Gu-Yeon Wei, 2010, TACO.

Vijay Janapa Reddi, Thanh Thi Nguyen, V. Reddi, 2019, IEEE Transactions on Neural Networks and Learning Systems.

Vijay Janapa Reddi, Matthew Halpern, Yuhao Zhu, 2015, 2015 IEEE 21st International Symposium on High Performance Computer Architecture (HPCA).

V. Reddi, A. Raychowdhury, Zishen Wan, 2022, 2022 Design, Automation & Test in Europe Conference & Exhibition (DATE).

Pradip Bose, Jingwen Leng, Vijay Janapa Reddi, 2021, IEEE Trans. Comput. Aided Des. Integr. Circuits Syst..

V. Reddi, Aleksandra Faust, Hasan Genç, 2022, ACM Trans. Comput. Syst..

V. Reddi, A. Raychowdhury, Shaoshan Liu, 2022, 2022 IEEE 4th International Conference on Artificial Intelligence Circuits and Systems (AICAS).

Vikram S. Adve, V. Reddi, S. Adve, 2021 .

V. Reddi, Javier Mauricio Duarte, C. Herwig, 2022, ArXiv.

Margaret J. Warren, Colby R. Banbury, James Y. Zou, 2022, arXiv.org.

Christopher Gill, Xin He, Vijay Janapa Reddi, 2020, IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems.

Lingjia Tang, Vijay Janapa Reddi, Aaron Smith, 2017, IEEE/ACM International Symposium on Code Generation and Optimization.

Colby R. Banbury, V. Reddi, C. Banbury, 2022, ArXiv.

Sabrina M. Neuman, Colby R. Banbury, V. Reddi, 2022, 2022 IEEE 4th International Conference on Artificial Intelligence Circuits and Systems (AICAS).

Sabrina M. Neuman, Gu-Yeon Wei, D. Brooks, 2022, 2022 55th IEEE/ACM International Symposium on Microarchitecture (MICRO).

Lavanya Subramanian, V. Reddi, Luke Yen, 2022, ACM Transactions on Embedded Computing Systems.

V. Reddi, N. Harris, D. Bunandar, 2021, ACM J. Emerg. Technol. Comput. Syst..

Satyananda Kashyap, Nicolas Padoy, Grigori Fursin, 2021, Nature Machine Intelligence.

Guido C. H. E. de Croon, Aleksandra Faust, Srivatsan Krishnan, 2021, 2021 IEEE International Conference on Robotics and Automation (ICRA).

Ling Huang, Vijay Janapa Reddi, Mohit Tiwari, 2016, ArXiv.

David Patterson, Jae-sun Seo, Vijay Janapa Reddi, 2020, ArXiv.

Minyi Guo, Quan Chen, Pradip Bose, 2020, 2020 IEEE International Symposium on High Performance Computer Architecture (HPCA).

Carole-Jean Wu, Gu-Yeon Wei, David A. Patterson, 2020, IEEE Micro.

Cory E. Merkel, Sai Manoj Pudukotai Dinakarrao, Purab Ranjan Sutradhar, 2022, IEEE Design & Test.

Arijit Raychowdhury, Vijay Janapa Reddi, Tianyu Jia, 2021, 2021 58th ACM/IEEE Design Automation Conference (DAC).

Nam Sung Kim, Jingwen Leng, Vijay Janapa Reddi, 2013, ISCA.

V. Reddi, Nick Kreeger, Advait Jain, 2020, MLSys.

Alexander Rush, Alexander M. Rush, Gu-Yeon Wei, 2020, 2020 57th ACM/IEEE Design Automation Conference (DAC).

Javier Duarte, Jeremy Holleman, Vijay Janapa Reddi, 2021, NeurIPS Datasets and Benchmarks.

Vijay Janapa Reddi, Russell M. Clapp, Daniel Richins, 2018, 2018 IEEE International Symposium on High Performance Computer Architecture (HPCA).

V. Reddi, R. Illikkal, Daniel Richins, 2020 .

Vijay Janapa Reddi, Matthew Halpern, Yuhao Zhu, 2016, 2016 IEEE International Symposium on High Performance Computer Architecture (HPCA).

Jonathan J. Cruz, Gu-Yeon Wei, D. Brooks, 2022, 2022 Design, Automation & Test in Europe Conference & Exhibition (DATE).

Ling Huang, Vijay Janapa Reddi, Mohit Tiwari, 2014, HASP@ISCA.

Colby R. Banbury, G. Diamos, Peter Mattson, 2021, NeurIPS Datasets and Benchmarks.

Wenzhi Cui, Aleksandra Faust, Behzad Boroujerdian, 2018, 2018 51st Annual IEEE/ACM International Symposium on Microarchitecture (MICRO).

Vijay Janapa Reddi, Guido C.H.E. de Croon, Shushuai Li, 2021, 2021 IEEE/RSJ International Conference on Intelligent Robots and Systems (IROS).

Srivatsan Krishnan, Brian Plancher, Vijay Janapa Reddi, 2021, Harvard Data Science Review.

Muhammad Shafique, Theocharis Theocharides, Boris Murmann, 2021, 2021 58th ACM/IEEE Design Automation Conference (DAC).

Vijay Janapa Reddi, Maximilian Lam, Mark Mazumder, 2021, NeurIPS Datasets and Benchmarks.

Cody A. Coleman, D. Narayanan, Daniel Kang, 2019, MLSys.

Michael B. Sullivan, S. Keckler, V. Reddi, 2022, DAC.

Guido C. H. E. de Croon, Aleksandra Faust, Srivatsan Krishnan, 2019 .

Mark D. Hill, Vijay Janapa Reddi, V. Reddi, 2019, Commun. ACM.

Behzad Boroujerdian, Vijay Janapa Reddi, Matthew Halpern, 2019, 2019 IEEE International Symposium on Performance Analysis of Systems and Software (ISPASS).

Hsien-Hsin S. Lee, Maximilian Lam, Jeff Johnson, 2023, ArXiv.

David M. Brooks, Vijay Janapa Reddi, D. Brooks, 2011, IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems.

Sabrina M. Neuman, S. Kuindersma, V. Reddi, 2021, 2022 International Conference on Robotics and Automation (ICRA).

Colby R. Banbury, Shawn R. Hymel, Matthew Kelcey, 2022, ArXiv.

Pradip Bose, Jingwen Leng, Vijay Janapa Reddi, 2015, 2015 48th Annual IEEE/ACM International Symposium on Microarchitecture (MICRO).

Vijay Janapa Reddi, Matthew Halpern, Yuhao Zhu, 2015, 2015 48th Annual IEEE/ACM International Symposium on Microarchitecture (MICRO).

Vijay Janapa Reddi, Hongil Yoon, Allan Knies, 2018, IEEE Micro.

Vijay Janapa Reddi, Matthew Halpern, Vijay Chidambaram, 2017, HotStorage.

Vijay Janapa Reddi, Maximilian Lam, Colby R. Banbury, 2021, 2021 30th International Conference on Parallel Architectures and Compilation Techniques (PACT).

Vijay Janapa Reddi, Maximilian Lam, Colby Banbury, 2020, ArXiv.

Vijay Janapa Reddi, Robert S. Cohn, Alex Settle, 2004, WCAE '04.

Michael D. Smith, Vijay Janapa Reddi, Robert S. Cohn, 2007, International Symposium on Code Generation and Optimization (CGO'07).

Margaret Martonosi, Qiang Wu, David M. Brooks, 2005, 38th Annual IEEE/ACM International Symposium on Microarchitecture (MICRO'05).

Margaret Martonosi, Qiang Wu, David M. Brooks, 2006, IEEE Micro.

Meeta Sharma Gupta, Gu-Yeon Wei, David M. Brooks, 2009, 2009 Design, Automation & Test in Europe Conference & Exhibition.

Meeta Sharma Gupta, Michael D. Smith, Gu-Yeon Wei, 2009, 2009 IEEE 15th International Symposium on High Performance Computer Architecture.

Tipp Moseley, Vijay Janapa Reddi, Daniel A. Connors, 2009, IEEE Transactions on Dependable and Secure Computing.

Carole-Jean Wu, Gennady Pekhimenko, Thomas B. Jablin, 2021, IEEE Micro.

Ajay Joshi, Vijay Janapa Reddi, Zahra Azad, 2021, 2021 IEEE International Symposium on Performance Analysis of Systems and Software (ISPASS).

Margaret J. Warren, Colby R. Banbury, James Y. Zou, 2022, ArXiv.

Vijay Janapa Reddi, Pete Warden, Mark Mazumder, 2021, Interspeech.

Vijay Janapa Reddi, Jonathan J. Cruz, Ethan Shaotran, 2019, ArXiv.

Vijay Janapa Reddi, Silvia M. Figueira, V. Reddi, 2005, Euro-Par.

Maximilian Lam, V. Reddi, Aleksandra Faust, 2019, ArXiv.

V. Reddi, Aleksandra Faust, William Fu, 2019, Machine Learning.

Ajay Joshi, Vijay Janapa Reddi, Zahra Azad, 2019 .

Behzad Salami, Dimitris Gizopoulos, Jingwen Leng, 2020, IEEE Transactions on Device and Materials Reliability.

Jingwen Leng, Vijay Janapa Reddi, Charles Lefurgy, 2015, 2015 48th Annual IEEE/ACM International Symposium on Microarchitecture (MICRO).

Colby R. Banbury, Harshit Khaitan, V. Reddi, 2022, ArXiv.

Eyal de Lara, Gennady Pekhimenko, Srivatsan Krishnan, 2021, MLSys.

Vijay Janapa Reddi, Yuhao Zhu, V. Reddi, 2017, ACM Trans. Comput. Syst..

Vijay Janapa Reddi, Yuhao Zhu, V. Reddi, 2014, 2014 ACM/IEEE 41st International Symposium on Computer Architecture (ISCA).

V. Reddi, P. Warden, B. Plancher, 2023, arXiv.org.

Gu-Yeon Wei, Paul N. Whatmough, Aleksandra Faust, 2020, IEEE Computer Architecture Letters.

Gu-Yeon Wei, Aleksandra Faust, Srivatsan Krishnan, 2021, ArXiv.

V. Reddi, Aleksandra Faust, Zishen Wan, 2021 .

Srivatsan Krishnan, Vijay Janapa Reddi, Thierry Tambe, 2021, ArXiv.

Gu-Yeon Wei, D. Brooks, V. Reddi, 2021, 2023 Design, Automation & Test in Europe Conference & Exhibition (DATE).

Mark D. Hill, Vijay Janapa Reddi, V. Reddi, 2019, 2019 IEEE International Symposium on High Performance Computer Architecture (HPCA).

Vijay Janapa Reddi, Mohit Tiwari, Mikhail Kazdagli, 2016, 2016 49th Annual IEEE/ACM International Symposium on Microarchitecture (MICRO).

V. Reddi, Aleksandra Faust, Daniel Richins, 2023, International Symposium on Computer Architecture.

Vijay Janapa Reddi, Yuhao Zhu, V. Reddi, 2016, PLDI.

Scott Kuindersma, Srinivas Devadas, Thomas Bourgeat, 2021, IEEE Robotics and Automation Letters.

Vijay Janapa Reddi, Matthew Halpern, Yuhao Zhu, 2015, 2015 IEEE International Symposium on Performance Analysis of Systems and Software (ISPASS).

Dirk Grunwald, Tipp Moseley, Vijay Janapa Reddi, 2007, International Symposium on Code Generation and Optimization (CGO'07).

Vijay Janapa Reddi, Daniel A. Connors, Alex Shye, 2005, AADEBUG'05.

Dirk Grunwald, Tipp Moseley, Vijay Janapa Reddi, 2005, CF '05.

Tipp Moseley, Vijay Janapa Reddi, Daniel A. Connors, 2005, 9th Annual Workshop on Interaction between Compilers and Computer Architectures (INTERACT'05).

Lavanya Subramanian, V. Reddi, Luke Yen, 2022, ACM Trans. Embed. Comput. Syst..

Gu-Yeon Wei, David M. Brooks, Simone Campanoni, 2012, CGO '12.

Behzad Boroujerdian, Vijay Janapa Reddi, Lavanya Subramanian, 2022, ArXiv.

C. Gill, V. Reddi, Xuan Zhang, 2021, ACM Trans. Archit. Code Optim..

V. Reddi, Aleksandra Faust, K. Bhardwaj, 2022, 2022 IEEE International Symposium on Performance Analysis of Systems and Software (ISPASS).

Behzad Boroujerdian, Brian Plancher, Vijay Janapa Reddi, 2021, 2021 58th ACM/IEEE Design Automation Conference (DAC).

Yingwei Luo, Xiaolin Wang, Lieven Eeckhout, 2016, ICS.

Jingwen Leng, Vijay Janapa Reddi, Yazhou Zu, 2015, 2015 IEEE 21st International Symposium on High Performance Computer Architecture (HPCA).

Meeta Sharma Gupta, Jingwen Leng, Vijay Janapa Reddi, 2014, 2014 IEEE/ACM International Symposium on Low Power Electronics and Design (ISLPED).

V. Reddi, Karthik Swaminathan, A. Raychowdhury, 2023, 2023 60th ACM/IEEE Design Automation Conference (DAC).

Jingwen Leng, Alper Buyuktosunoglu, Pradip Bose, 2019, IEEE Computer Architecture Letters.

Gabriel Barth-Maron, Maximilian Lam, V. Reddi, 2019, Trans. Mach. Learn. Res..

Hsien-Hsin S. Lee, Maximilian Lam, Jeff Johnson, 2023, ArXiv.

Jian Li, Advait Jain, Vijay Janapa Reddi, 2020, ArXiv.

Nezihe Merve Gurel, Curtis G. Northcutt, Adji B. Dieng, 2023, ArXiv.

Vijay Janapa Reddi, Pete Warden, David Kanter, 2021, ArXiv.

Vijay Janapa Reddi, Yuhao Zhu, V. Reddi, 2013, 2013 IEEE 19th International Symposium on High Performance Computer Architecture (HPCA).

Wenzhi Cui, Aleksandra Faust, Behzad Boroujerdian, 2019, ArXiv.

Sani R. Nassif, Keith A. Bowman, David Z. Pan, 2012, 17th Asia and South Pacific Design Automation Conference.

Margaret J. Warren, Colby R. Banbury, James Y. Zou, 2022, NeurIPS.

Kushagra Vaid, Benjamin C. Lee, Vijay Janapa Reddi, 2010, ISCA.

V. Reddi, P. Warden, Shvetank Prakash, 2023, ArXiv.