A. Jaleel

发表

Aamer Jaleel, Yale N. Patt, Moinuddin K. Qureshi, 2007, ISCA '07.

Aamer Jaleel, Kevin B. Theobald, Joel S. Emer, 2010, ISCA.

Aamer Jaleel, Bruce Jacob, Matthew Mattina, 2006, The Twelfth International Symposium on High-Performance Computer Architecture, 2006..

Jiulong Shan, Dong Liu, Aamer Jaleel, 2007, 2007 IEEE International Symposium on Performance Analysis of Systems & Software.

Aamer Jaleel, Moinuddin K. Qureshi, Joel S. Emer, 2008, 2008 International Conference on Parallel Architectures and Compilation Techniques (PACT).

Carole-Jean Wu, Margaret Martonosi, Aamer Jaleel, 2011, 2011 44th Annual IEEE/ACM International Symposium on Microarchitecture (MICRO).

Aamer Jaleel, Harish Patil, Kim M. Hazelwood, 2010, Computer.

Lieven Eeckhout, Aamer Jaleel, Paolo Narváez, 2012, 2012 39th Annual International Symposium on Computer Architecture (ISCA).

Eiman Ebrahimi, A. Jaleel, Sam Duncan, 2019, ACM Trans. Archit. Code Optim..

Aamer Jaleel, Seth H. Pugsley, Rajeev Balasubramonian, 2014, 2014 IEEE 20th International Symposium on High Performance Computer Architecture (HPCA).

Aamer Jaleel, Joel S. Emer, Neal Clayton Crago, 2019, MICRO.

Carole-Jean Wu, Aamer Jaleel, David W. Nellans, 2017, 2017 ACM/IEEE 44th Annual International Symposium on Computer Architecture (ISCA).

Aamer Jaleel, Brandon Lucia, Neal Clayton Crago, 2021, 2021 IEEE International Symposium on High-Performance Computer Architecture (HPCA).

Adrian Moga, Aamer Jaleel, Joel S. Emer, 2015, 2015 IEEE 21st International Symposium on High Performance Computer Architecture (HPCA).

Donald Yeung, Aamer Jaleel, Bruce Jacob, 2005, IEEE International Symposium on Performance Analysis of Systems and Software, 2005. ISPASS 2005..

Mehmet Kayaalp, Nael B. Abu-Ghazaleh, Aamer Jaleel, 2016, 2016 53nd ACM/EDAC/IEEE Design Automation Conference (DAC).

Nael B. Abu-Ghazaleh, Aamer Jaleel, Dmitry V. Ponomarev, 2012, TACO.

Aamer Jaleel, Abhishek Bhattacharjee, Viswanathan Vaidyanathan, 2012, 2012 45th Annual IEEE/ACM International Symposium on Microarchitecture.

Aamer Jaleel, David W. Nellans, Oreste Villa, 2018, 2018 51st Annual IEEE/ACM International Symposium on Microarchitecture (MICRO).

Mehmet Kayaalp, Aamer Jaleel, Jesse Elwell, 2017, 2017 54th ACM/EDAC/IEEE Design Automation Conference (DAC).

Lieven Eeckhout, Aamer Jaleel, Wim Heirman, 2013, Proceedings of the 22nd International Conference on Parallel Architectures and Compilation Techniques.

Moinuddin K. Qureshi, A. Jaleel, Chiachen Chou, 2015 .

Aamer Jaleel, Bruce Jacob, David Wang, 2005, CARN.

Aamer Jaleel, Bruce Jacob, B. Jacob, 2005, 11th International Symposium on High-Performance Computer Architecture.

Aamer Jaleel, Joel S. Emer, Simon C. Steely, 2010, 2010 43rd Annual IEEE/ACM International Symposium on Microarchitecture.

Michael B. Sullivan, S. Keckler, A. Jaleel, 2023, ISCA.

Carole-Jean Wu, Margaret Martonosi, Aamer Jaleel, 2011, 2011 44th Annual IEEE/ACM International Symposium on Microarchitecture (MICRO).

Aamer Jaleel, Moinuddin K. Qureshi, Chia-Chen Chou, 2014, 2014 47th Annual IEEE/ACM International Symposium on Microarchitecture.

Aamer Jaleel, Moinuddin K. Qureshi, Chia-Chen Chou, 2015, 2015 ACM/IEEE 42nd Annual International Symposium on Computer Architecture (ISCA).

Lieven Eeckhout, Aamer Jaleel, Zhibin Yu, 2019, 2019 ACM/IEEE 46th Annual International Symposium on Computer Architecture (ISCA).

Aamer Jaleel, Daniel Lustig, Oreste Villa, 2020, 2020 IEEE International Symposium on High Performance Computer Architecture (HPCA).

Aamer Jaleel, David W. Nellans, Alex Ramírez, 2017, 2017 50th Annual IEEE/ACM International Symposium on Microarchitecture (MICRO).

Aamer Jaleel, Jim D. Garside, Mikel Luján, 2015, MEMSYS.

Aamer Jaleel, Bruce Jacob, David Wang, 2007, 2007 IEEE 13th International Symposium on High Performance Computer Architecture.

B. Jacob, A. Jaleel, 2006, IEEE Transactions on Computers.

Aamer Jaleel, Bruce Jacob, B. Jacob, 2001, Proceedings 2001 IEEE International Conference on Computer Design: VLSI in Computers and Processors. ICCD 2001.

Christopher W. Fletcher, Hadi Asghari Moghaddam, J. Emer, 2023, ASPLOS.

Aamer Jaleel, Moinuddin K. Qureshi, Chia-Chen Chou, 2016, 2016 49th Annual IEEE/ACM International Symposium on Microarchitecture (MICRO).

Christopher W. Fletcher, J. Emer, A. Jaleel, 2023, HOPC@SPAA.

Aamer Jaleel, Moinuddin K. Qureshi, Chia-Chen Chou, 2018, 2018 ACM/IEEE 45th Annual International Symposium on Computer Architecture (ISCA).

Aamer Jaleel, Hashem Hashemi Najaf-abadi, Joel S. Emer, 2012, ASPLOS XVII.

Stijn Eyerman, Lieven Eeckhout, Aamer Jaleel, 2016, ACM Trans. Archit. Code Optim..

S. Keckler, A. Jaleel, N. Crago, 2023, 2023 IEEE International Symposium on Performance Analysis of Systems and Software (ISPASS).

J. Emer, S. Keckler, Jason Clemons, 2023, ACM Trans. Comput. Syst..

Gal Dalal, A. Jaleel, Shie Mannor, 2023, MLSys.

Aamer Jaleel, Joel S. Emer, William Hasenplaugh, 2012, TACO.

Aamer Jaleel, Arijit Biswas, Shubhendu S. Mukherjee, 2010, HPCA - 16 2010 The Sixteenth International Symposium on High-Performance Computer Architecture.

Aamer Jaleel, Arijit Biswas, Shubhendu S. Mukherjee, 2010, HPCA 2010.