Gwangsun Kim

发表

John Kim, Gwangsun Kim, Mike Parker, 2015, 2015 IEEE 21st International Symposium on High Performance Computer Architecture (HPCA).

Yongdai Kim, Gwangsun Kim, Jin Kyung Park, 2011, Lifetime data analysis.

Gwangsun Kim, Se-Bum Paik, Jaeson Jang, 2021, Science Advances.

Yongdai Kim, Gwangsun Kim, D. Arnett, 2017, Statistics in medicine.

Gwangsun Kim, L. Youngjo, 2019, International Statistical Review.

Gwangsun Kim, Se-Bum Paik, Jaeson Jang, 2020, bioRxiv.

M. Hasegawa-Johnson, Gwangsun Kim, Matt Olfat, 2021, AAAI.

Onur Mutlu, Stephen W. Keckler, Gwangsun Kim, 2016, 2016 ACM/IEEE 43rd Annual International Symposium on Computer Architecture (ISCA).

Seong-Whan Lee, Gwangsu Kim, Seong-Whan Lee, 2016, SpringerPlus.

Yongdai Kim, Gwangsun Kim, Hee‐Seok Oh, 2015 .

Gwangsun Kim, Se-Bum Paik, Jaeson Jang, 2021, Nature Communications.

Gwangsun Kim, Niladrish Chatterjee, Mike O'Connor, 2017, SC17: International Conference for High Performance Computing, Networking, Storage and Analysis.

Onur Mutlu, Stephen W. Keckler, Gwangsun Kim, 2016, 2016 ACM/IEEE 43rd Annual International Symposium on Computer Architecture (ISCA).

Jaeha Kim, Jung Ho Ahn, John Kim, 2013, Proceedings of the 22nd International Conference on Parallel Architectures and Compilation Techniques.

Sunghwan Kim, Ung Hwang, Gwangsu Kim, 2019, 2019 International Conference on Artificial Intelligence in Information and Communication (ICAIIC).

O. Mutlu, Kevin Hsieh, N. Vijaykumar, 2016, 2016 ACM/IEEE 43rd Annual International Symposium on Computer Architecture (ISCA).

John Kim, Gwangsun Kim, Dennis Abts, 2014, IEEE Transactions on Computers.

Jung Ho Ahn, John Kim, Gwangsun Kim, 2016, 2016 International Conference on Parallel Architecture and Compilation Techniques (PACT).

John Kim, Gwangsun Kim, Jiyun Jeong, 2014, 2014 47th Annual IEEE/ACM International Symposium on Microarchitecture.

John Kim, Soojung Ryu, Gwangsun Kim, 2016, 2016 IEEE International Symposium on High Performance Computer Architecture (HPCA).

John Kim, Sungjoo Yoo, Gwangsun Kim, 2011, 2011 48th ACM/EDAC/IEEE Design Automation Conference (DAC).

John Kim, Gwangsun Kim, Jiyun Jeong, 2016, 2016 49th Annual IEEE/ACM International Symposium on Microarchitecture (MICRO).

Woncheol Jang, Joungyoun Kim, Gwangsu Kim, 2016 .