Cheng Li

发表

Quan Chen, Ronald G. Dreslinski, Trevor N. Mudge, 2015, 2015 ACM/IEEE 42nd Annual International Symposium on Computer Architecture (ISCA).

Ronald G. Dreslinski, Trevor N. Mudge, Cheng Li, 2016, IEEE Micro.

John P. Hayes, Cheng Li, Armin Alaghi, 2013, 2013 50th ACM/EDAC/IEEE Design Automation Conference (DAC).