Robert W. Dutton

发表

Kaustav Banerjee, Robert W. Dutton, Zhiping Yu, 2000, 2000 IEEE International Reliability Physics Symposium Proceedings. 38th Annual (Cat. No.00CH37059).

Kincho H. Law, Robert W. Dutton, Peter M. Pinsky, 1995 .

Robert W. Dutton, 1991 .

Lee-Sup Kim, Robert W. Dutton, 1990 .

Robert W. Dutton, Donald B. Estreich, R. Dutton, 1982, IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems.

Robert W. Dutton, Zhiping Yu, Hai Lan, 2003, Fourth International Symposium on Quality Electronic Design, 2003. Proceedings..

Gaofeng Wang, Robert W. Dutton, Zhiping Yu, 2002, Proceedings International Symposium on Quality Electronic Design.

Robert W. Dutton, Enrico Sangiorgi, Mark R. Pinto, 1985, IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems.

Robert W. Dutton, David Parker, Ze-Kai Hsiau, 1998, Proceedings of the 20th Annual International Conference of the IEEE Engineering in Medicine and Biology Society. Vol.20 Biomedical Engineering Towards the Year 2000 and Beyond (Cat. No.98CH36286).

Robert W. Dutton, Michael J. Flynn, Giovanni DeMicheli, 1990 .

Robert W. Dutton, Zhiping Yu, Xin Yi Zhang, 1999, 1999 International Conference on Simulation of Semiconductor Processes and Devices. SISPAD'99 (IEEE Cat. No.99TH8387).

Robert W. Dutton, Dimitri A. Antoniadis, S. E. Hansen, 1978 .

Robert W. Dutton, Zhiping Yu, Chiaki Takano, 1990, IEEE Trans. Comput. Aided Des. Integr. Circuits Syst..

John R. Smith, Robert W. Dutton, Wilhelm G. Wolfer, 1989, Future Gener. Comput. Syst..

Robert W. Dutton, Zhiping Yu, Francis M. Rotella, 1994, Photonics West - Lasers and Applications in Science and Engineering.

Lee-Sup Kim, Robert W. Dutton, 1989, IEEE Trans. Comput. Aided Des. Integr. Circuits Syst..

Stephen P. Boyd, Yu Cao, Robert W. Dutton, 2011, IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems.

Robert W. Dutton, G. Chin, Ke-chih Wu, 1990, Workshop on Numerical Modeling of Processes and Devices for Integrated Circuits.

Datong Chen, Robert W. Dutton, S. Sugino, 1992, NUPAD IV. Workshop on Numerical Modeling of Processes and Devices for Integrated Circuits,.

Robert W. Dutton, William Loh, Tze Wee Chen, 2006, Microelectron. Reliab..

Robert W. Dutton, James T. Walker, Jame-Bond Kuo, 1983 .

Asen Asenov, Robert W. Dutton, Siegfried Selberherr, 2007 .

Tao Chen, Robert W. Dutton, Daniel W. Yergeau, 1996, 1996 International Conference on Simulation of Semiconductor Processes and Devices. SISPAD '96 (IEEE Cat. No.96TH8095).

Robert W. Dutton, Chia-Yu Chen, Olof G. Tornblad, 2009, 2009 IEEE MTT-S International Microwave Symposium Digest.

Robert W. Dutton, Zhiping Yu, Tae-young Oh, 2002, Proceedings International Symposium on Quality Electronic Design.

Robert W. Dutton, Thomas H. Lee, Reza Navid, 2007, 2007 IEEE International Symposium on Circuits and Systems.

Robert W. Dutton, James D. Meindl, James D. Plummer, 1981 .

Robert W. Dutton, 1993, ESSDERC '93: 23rd European solid State Device Research Conference.

Tao Chen, Robert W. Dutton, Jeffery Johnson, 1995 .

Kincho H. Law, Robert W. Dutton, Narayana R Aluru, 1996 .

Robert W. Dutton, Chang G. Hwang, Doreen Y. Cheng, 1988 .

John M. Gould, Robert W. Dutton, Jonathan Allen, 1981, DAC '81.

Robert W. Dutton, Edwin C. Kan, R. Dutton, 1998, VLSI Design.

Robert W. Dutton, Ze-Kai Hsiau, K. C. Wang, 1996 .

Akram A. Salman, Robert W. Dutton, Mario M. Pelella, 2009, 2009 IEEE International Reliability Physics Symposium.

Robert W. Dutton, Wayne Wolf, John A. Newkirk, 1983 .

Andrew R. Neureuther, Robert W. Dutton, Duane S. Boning, 1991, 28th ACM/IEEE Design Automation Conference.

Robert W. Dutton, Hiroshi Iwai, H. Tanimoto, 1990, Workshop on Numerical Modeling of Processes and Devices for Integrated Circuits.

Jung-Hoon Chun, Robert W. Dutton, Shuqing Cao, 2010, IEEE Transactions on Circuits and Systems I: Regular Papers.

Robert W. Dutton, Zhiping Yu, Francois Danneville, 2000 .

Robert W. Dutton, Sun Young Hwang, Tom Blank, 1986, IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems.

Robert W. Dutton, Lu Sha, 1985, 22nd ACM/IEEE Design Automation Conference.

Robert W. Dutton, Mark E. Law, R. Dutton, 1988, IEEE Trans. Comput. Aided Des. Integr. Circuits Syst..

Robert W. Dutton, Yi-Chang Lu, Georgios Veronis, 2004, International Symposium on Signals, Circuits and Systems. Proceedings, SCS 2003. (Cat. No.03EX720).

Robert W. Dutton, Hai Lan, 2004, Proceedings Design, Automation and Test in Europe Conference and Exhibition.

Robert W. Dutton, James B. Kuo, Bruce A. Wooley, 1989, IEEE Trans. Comput. Aided Des. Integr. Circuits Syst..

Robert W. Dutton, Vered Marash, 1988, IEEE Trans. Comput. Aided Des. Integr. Circuits Syst..

Robert W. Dutton, Zhiping Yu, Zhiping Yu, 1993 .

Robert W. Dutton, N. A. Golias, 1996, 1996 International Conference on Simulation of Semiconductor Processes and Devices. SISPAD '96 (IEEE Cat. No.96TH8095).

Gaofeng Wang, Robert W. Dutton, Zhiping Yu, 2000, Proceedings of the IEEE 2000 Custom Integrated Circuits Conference (Cat. No.00CH37044).

Robert W. Dutton, Nelson N. Chan, 1985 .

Robert W. Dutton, Zhiping Yu, Chang-Hoon Choi, 2000, International Electron Devices Meeting 2000. Technical Digest. IEDM (Cat. No.00CH37138).

Kincho H. Law, Robert W. Dutton, Narayan R. Aluru, 1995, PPSC.

Robert W. Dutton, Chang G. Hwang, 1988, IEEE Trans. Comput. Aided Des. Integr. Circuits Syst..

Robert W. Dutton, Krishna Garikipati, Edward K. Chan, 1999, IEEE Des. Test Comput..

Robert W. Dutton, Hal R. Yeager, R. Dutton, 1985, IEEE Transactions on Electron Devices.

Robert W. Dutton, Zhiping Yu, Massimo Vanzi, 1987, IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems.

Robert W. Dutton, Norman Chang, Zhiping Yu, 2000, Proceedings IEEE 2000 First International Symposium on Quality Electronic Design (Cat. No. PR00525).

Robert W. Dutton, M. Noell, Z. H. Sahul, 1993 .

Robert W. Dutton, Tze Wee Chen, Shuqing Cao, 2009, 2009 IEEE Custom Integrated Circuits Conference.

Robert W. Dutton, Ke-Chih Wu, Goodwin R. Chin, 1991, IEEE Trans. Comput. Aided Des. Integr. Circuits Syst..

Robert W. Dutton, Dimitri A. Antoniadis, 1978 .

Robert W. Dutton, Zhiping Yu, 1993 .

Robert W. Dutton, Thomas H. Lee, Reza Navid, 2005, IEEE Transactions on Circuits and Systems II: Express Briefs.

Kartikeya Mayaram, Robert W. Dutton, B. Troyanovsky, 2004 .

Robert W. Dutton, Michael J. Flynn, Giovanni DeMicheli, 1991 .

Robert W. Dutton, Hai Lan, Jae Wook Kim, 2005, Proceedings of the IEEE 2005 Custom Integrated Circuits Conference, 2005..

Robert W. Dutton, Zhiping Yu, Zhiping Yu, 1993, The Kluwer international series in engineering and computer science.

Alexander Wong, Robert W. Dutton, Andrew R. Neureuther, 1992 .

Robert W. Dutton, A. Raefsky, Bruce P. Herndon, 1995, Proceedings the First Aizu International Symposium on Parallel Algorithms/Architecture Synthesis.

Robert W. Dutton, Thomas H. Lee, Reza Navid, 2010, IEEE Transactions on Circuits and Systems I: Regular Papers.

Robert W. Dutton, Hal R. Yeager, R. Dutton, 1989, IEEE Trans. Comput. Aided Des. Integr. Circuits Syst..

Robert W. Dutton, Duane S. Boning, W. Chandler Blvd, 2005 .

Robert W. Dutton, Jaejune Jang, 2004 .

Robert W. Dutton, James D. Plummer, Peter B. Griffin, 2002 .

Robert W. Dutton, Mark Horowitz, Xiaoning Qi, 2002 .

Robert W. Dutton, Zhiping Yu, Chang-Hoon Choi, 2000, 2000 International Conference on Simulation Semiconductor Processes and Devices (Cat. No.00TH8502).

Datong Chen, Robert W. Dutton, Zhiping Yu, 1993, IEEE Trans. Comput. Aided Des. Integr. Circuits Syst..

Robert W. Dutton, D. Yergeau, R.J.G. Goossens, 2002 .

Robert W. Dutton, James D. Meindl, T. Rodgers, 1975 .

Robert W. Dutton, Ke-Chih Wu, Ze-Yi Wang, 1992, IEEE Trans. Comput. Aided Des. Integr. Circuits Syst..

Robert W. Dutton, D. Y. Cheng, J. T. Deutsch, 1990, IEEE Trans. Comput. Aided Des. Integr. Circuits Syst..

Robert W. Dutton, Chang G. Hwang, D. Y. Cheng, 1988, IEEE Trans. Comput. Aided Des. Integr. Circuits Syst..

Robert W. Dutton, Robert F. Lucas, Ke-Chih Wu, 1989, IEEE Trans. Comput. Aided Des. Integr. Circuits Syst..

Robert W. Dutton, Michael R. Kump, R. Dutton, 1988, IEEE Trans. Comput. Aided Des. Integr. Circuits Syst..

Mark Horowitz, Robert W. Dutton, 1983, IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems.

Robert W. Dutton, Kincho Law, D. Yang, 1992, NUPAD IV. Workshop on Numerical Modeling of Processes and Devices for Integrated Circuits,.

Robert W. Dutton, Boris Murmann, Parastoo Nikaeen, 2008, 9th International Symposium on Quality Electronic Design (isqed 2008).

Robert W. Dutton, Doris Schmitt-Landsiedel, Marc Tiebout, 2005, 2005 Electrical Overstress/Electrostatic Discharge Symposium.

Robert W. Dutton, Hiroshi Iwai, Mark R. Pinto, 1987, IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems.

Kaustav Banerjee, Robert W. Dutton, Choshu Ito, 2001, Proceedings of the IEEE 2001. 2nd International Symposium on Quality Electronic Design.

Robert W. Dutton, Edward K. Chan, 1999, Design, Test, Integration, and Packaging of MEMS/MOEMS.

Robert W. Dutton, Zhiping Yu, Hee-Tae Ahn, 2002, IEEE J. Solid State Circuits.

Robert W. Dutton, Zhiping Yu, Hee-Tae Ahn, 2001 .

Kaustav Banerjee, Robert W. Dutton, C. Duvvury, 2002, 2002 IEEE International Reliability Physics Symposium. Proceedings. 40th Annual (Cat. No.02CH37320).

Robert W. Dutton, Boris Murmann, Jae Wook Kim, 2008, 9th International Symposium on Quality Electronic Design (isqed 2008).

Robert W. Dutton, Hiroshi Iwai, John S. Wenstrand, 1989, 1989 IEEE International Conference on Computer-Aided Design. Digest of Technical Papers.

Robert W. Dutton, 1981 .

Robert W. Dutton, James B. Kuo, G. P. Rosseel, 1989, IEEE Trans. Comput. Aided Des. Integr. Circuits Syst..

Robert W. Dutton, 1993, [Proceedings] 1993 International Workshop on VLSI Process and Device Modeling (1993 VPAD).

Robert W. Dutton, Yi-Chang Lu, Kaustav Banerjee, 2001, Proceedings of the IEEE 2001 Custom Integrated Circuits Conference (Cat. No.01CH37169).

Robert W. Dutton, N. N. Chan, 1985, IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems.

Andrzej J. Strojwas, Robert W. Dutton, R. Dutton, 2000, IEEE Trans. Comput. Aided Des. Integr. Circuits Syst..

Robert W. Dutton, Zhiping Yu, Stephen G. Beebe, 1994, IEEE Trans. Comput. Aided Des. Integr. Circuits Syst..

Robert W. Dutton, Siegfried Selberherr, S.-W. Lee, 2002 .

Robert W. Dutton, Wayne H. Wolf, John A. Newkirk, 1988, IEEE Trans. Comput. Aided Des. Integr. Circuits Syst..

Seth Copen Goldstein, Robert W. Dutton, Paul D. Franzon, 2001, ICCAD.

Robert W. Dutton, Nathan M. Wilson, Kenneth C. Wang, 2000 .

Robert W. Dutton, Zhiping Yu, Boris Troyanovsky, 1995, ICCAD.

Stephen P. Boyd, Yu Cao, Robert W. Dutton, 2010, 2010 Design, Automation & Test in Europe Conference & Exhibition (DATE 2010).

Edwin C. Kan, Robert W. Dutton, Ze-Kai Hsiau, 1995, Proceedings of International Electron Devices Meeting.

Robert W. Dutton, C. S. Rafferty, R. Dutton, 1989 .

Robert W. Dutton, Zhiping Yu, D. Yergeau, 2001, 2001 International Symposium on VLSI Technology, Systems, and Applications. Proceedings of Technical Papers (Cat. No.01TH8517).

Eric Pop, Robert W. Dutton, Kenneth E. Goodson, 2006 .

Robert W. Dutton, M. D. Pocha, R. Dutton, 1974 .

Robert W. Dutton, James D. Meindl, James D. Plummer, 1981 .

Robert W. Dutton, L. M. Landsberger, C. S. Rafferty, 1989 .

Robert W. Dutton, Reza Navid, Thomas H. Lee, 2004 .

Robert W. Dutton, Moustafa Y. Ghannam, R. Dutton, 1988 .

Robert W. Dutton, M. E. Law, R. Dutton, 1988, Technical Digest., International Electron Devices Meeting.

Martin J. Gander, Edwin C. Kan, Robert W. Dutton, 1995 .

Robert W. Dutton, R. Dutton, P. Fahey, 1983 .

Robert W. Dutton, Dimitri A. Antoniadis, R. Dutton, 1979 .

Robert W. Dutton, Zhiping Yu, Chang-Hoon Choi, 2001 .

Robert W. Dutton, Boris Murmann, P. Nikaeen, 2008, ISQED 2008.

Kaustav Banerjee, Robert W. Dutton, Kenneth E. Goodson, 2000, 2000 International Conference on Simulation Semiconductor Processes and Devices (Cat. No.00TH8502).

Robert W. Dutton, Yang Liu, Qiushi Ran, 2010, 2010 International Electron Devices Meeting.

Edwin C. Kan, Robert W. Dutton, T. Arnborg, 1998, IEEE J. Solid State Circuits.

Kaustav Banerjee, Robert W. Dutton, C. Duvvury, 2001, 2001 IEEE International Reliability Physics Symposium Proceedings. 39th Annual (Cat. No.00CH37167).

Robert W. Dutton, Reza Navid, Thomas H. Lee, 2003, Proceedings of the IEEE 2003 Custom Integrated Circuits Conference, 2003..

Robert W. Dutton, C. S. Rafferty, L. Borucki, 1989 .

Robert W. Dutton, Zhiping Yu, Kwang-Hoon Oh, 1999, ICVC '99. 6th International Conference on VLSI and CAD (Cat. No.99EX361).

Robert W. Dutton, Dimitri A. Antoniadis, Hee-Gook Lee, 1979 .

Robert W. Dutton, Umberto Ravaioli, Mark R. Pinto, 1992, NUPAD IV. Workshop on Numerical Modeling of Processes and Devices for Integrated Circuits,.

Kaustav Banerjee, Charvaka Duvvury, Robert W. Dutton, 2002 .

Robert W. Dutton, Zhiping Yu, Chang-Hoon Choi, 2003 .

Kincho H. Law, Robert W. Dutton, Peter M. Pinsky, 1993 .

Robert W. Dutton, D. A. Divekar, 1977 .

Robert W. Dutton, Reza Navid, R. Dutton, 2002, International Conferencre on Simulation of Semiconductor Processes and Devices.

Robert W. Dutton, Boris Murmann, Jae Wook Kim, 2008, ISQED 2008.

Robert W. Dutton, Krishna C. Saraswat, P. Smeys, 1995 .

Robert W. Dutton, Krishna C. Saraswat, Richard M. Swanson, 1985, IEEE Journal of Solid-State Circuits.

Robert W. Dutton, R. Reif, R. Dutton, 1981 .

Robert W. Dutton, Zhiping Yu, Mario G. Ancona, 2000 .

Robert W. Dutton, Shinichi Takagi, A. Mujtaba, 1995, 1995 Symposium on VLSI Technology. Digest of Technical Papers.

Robert W. Dutton, James D. Plummer, Peter B. Griffin, 1985 .

Robert W. Dutton, Dimitri A. Antoniadis, William G. Oldham, 1983 .

Robert W. Dutton, Zhiping Yu, R.J.G. Goossens, 1993 .

Robert W. Dutton, S. A. Mujtaba, D. L. Scharfetter, 1994, Proceedings of International Workshop on Numerical Modeling of processes and Devices for Integrated Circuits: NUPAD V.

Shanhui Fan, Robert W. Dutton, Georgios Veronis, 2004 .

Robert W. Dutton, Krishna C. Saraswat, James D. Meindl, 1978 .

Robert W. Dutton, G. P. Rosseel, 1989, International Technical Digest on Electron Devices Meeting.