S. Mitra
发表
Parag Agrawal,
Christoforos E. Kozyrakis,
Guru M. Parulkar,
2010,
OPSR.
S. Mitra,
Jason Bau,
Richard Hankins,
.
Parag Agrawal,
Christoforos E. Kozyrakis,
Guru M. Parulkar,
2011,
Commun. ACM.
H. Wong,
S. Mitra,
Chongwu Zhou,
2009,
IEEE Transactions on Nanotechnology.
H. Wong,
S. Mitra,
N. Patil,
2009,
IEEE Transactions on Nanotechnology.
H.-S. Philip Wong,
Subhasish Mitra,
Chen Chen,
2012,
2012 Design, Automation & Test in Europe Conference & Exhibition (DATE).
Integration of nanoelectromechanical (NEM) relays with silicon CMOS with functional CMOS-NEM circuit
R. Howe,
H. Wong,
S. Mitra,
2011,
2011 International Electron Devices Meeting.
R. Howe,
H. Wong,
S. Mitra,
2010
.
Chen Dong,
Deming Chen,
Subhasish Mitra,
2011,
International Workshop on System Level Interconnect Prediction.
Kunle Olukotun,
Franz Franchetti,
Jan M. Rabaey,
2015,
Computer.
Monolithic 3D integration of logic and memory: Carbon nanotube FETs, resistive RAM, and silicon FETs
Tony F. Wu,
K. Saraswat,
H. Wong,
2014,
2014 IEEE International Electron Devices Meeting.
N. Seifert,
S. Mitra,
M. Zhang,
2005,
Computer.
Hai Wei,
Nishant Patil,
Subhasish Mitra,
2009,
2009 Design, Automation & Test in Europe Conference & Exhibition.
H. Wong,
S. Mitra,
N. Patil,
2008,
2008 Symposium on VLSI Technology.
Stephen P. Boyd,
Yu Cao,
Robert W. Dutton,
2010,
2010 Design, Automation & Test in Europe Conference & Exhibition (DATE 2010).
Onur Mutlu,
Subhasish Mitra,
Yanjing Li,
2009,
2009 IEEE/ACM International Conference on Computer-Aided Design - Digest of Technical Papers.
Francky Catthoor,
H.-S. Philip Wong,
Iuliana Radu,
2018,
IEEE Transactions on Nanotechnology.
E. McCluskey,
S. Mitra,
Wei-Je Huang,
2001
.
E. McCluskey,
S. Mitra,
N. Saxena,
2000
.
E. McCluskey,
S. Mitra,
N. Saxena,
1999
.
H. Wong,
S. Mitra,
Li-Wen Chang,
2012,
Advanced materials.
R. Howe,
H. Wong,
S. Mitra,
2012,
IEEE Transactions on Electron Devices.
R. Howe,
H. Wong,
S. Mitra,
2015,
Journal of Microelectromechanical Systems.
R. Howe,
H. Wong,
S. Mitra,
2013,
Journal of microelectromechanical systems.
R. Howe,
H. Wong,
S. Mitra,
2013,
2013 IEEE 26th International Conference on Micro Electro Mechanical Systems (MEMS).
Lukasz G. Szafaryn,
S. Mitra,
K. Skadron,
2017,
2017 IEEE International Conference on Computer Design (ICCD).
Erik H. Volkerink,
E. McCluskey,
S. Mitra,
2004,
2004 International Conferce on Test.
H. Wong,
S. Mitra,
M. Shulaker,
2016,
IEEE Spectrum.
Hai Wei,
S. Mitra,
N. Patil,
2006,
2009 Symposium on VLSI Technology.
Hai Wei,
H.-S. Philip Wong,
Subhasish Mitra,
2011,
2011 International Electron Devices Meeting.
Hai Wei,
Jie Zhang,
Subhasish Mitra,
2010,
2010 Symposium on VLSI Technology.
H. Wong,
S. Mitra,
M. Shulaker,
2017
.
E J Chichilnisky,
Subhasish Mitra,
Alexander Sher,
2017,
Journal of neurophysiology.
S. Mitra,
Zhihong Chen,
Lianmao Peng,
2014
.
Willy C. Shih,
R. Gottscho,
J. Rabaey,
2022,
ArXiv.
LaNae J. Avra,
E. McCluskey,
S. Mitra,
2000
.
Edward J. McCluskey,
Subhasish Mitra,
Philip P. Shirvani,
1998
.
Naresh R Shanbhag,
S. Malik,
S. Mitra,
2015
.
H.-S. Philip Wong,
Jie Deng,
Nishant Patil,
2007,
2007 IEEE International Solid-State Circuits Conference. Digest of Technical Papers.
H. Wong,
S. Mitra,
N. Patil,
2011
.
Edward J. McCluskey,
Subhasish Mitra,
E. McCluskey,
2000,
Proceedings International Test Conference 2000 (IEEE Cat. No.00CH37159).
S. Mitra,
2000
.
E. McCluskey,
S. Mitra,
N. Saxena,
2022
.
S. Mitra,
J. Provine,
R. Howe,
2010,
2010 IEEE 23rd International Conference on Micro Electro Mechanical Systems (MEMS).
H. Wong,
S. Mitra,
G. Pitner,
2020
.
Boris Murmann,
Dante Gabriel Muratore,
Binh Q. Le,
2020,
2020 IEEE International Symposium on Circuits and Systems (ISCAS).
S. Mitra,
K. Skadron,
Ulf Schlichtmann,
2019,
Design Automation Conference.
Ahmad A. Al-Yamani,
E. McCluskey,
S. Mitra,
2002
.
Mary Wootters,
Subhasish Mitra,
Gage Hills,
2019,
Proceedings of the IEEE.
Tony F. Wu,
Zainab F. Khan,
E. Vianello,
2021,
Nature Electronics.
Clark W. Barrett,
C. Barrett,
S. Mitra,
2018
.
Nishal P. Shah,
E. Chichilnisky,
A. Sher,
2022,
bioRxiv.
Eric Cheng,
Jacob A. Abraham,
Kevin Skadron,
2017
.
H. Wong,
S. Mitra,
G. Hills,
2016,
ACS nano.
H. Wong,
S. Mitra,
N. Patil,
2014,
IEEE International Interconnect Technology Conference.
Ahmad A. Al-Yamani,
Subhasish Mitra,
Edward J. McCluskey,
2003
.
H.-S. Philip Wong,
Weier Wan,
Subhasish Mitra,
2018,
2018 IEEE International Symposium on Circuits and Systems (ISCAS).
Subhasish Mitra,
Albert Lin,
Chongwu Zhou,
2009,
Nano letters.
H.-S. Philip Wong,
Nishant Patil,
Subhasish Mitra,
2010,
FPGA '10.
Franck Cappello,
Andrew A. Chien,
Jacob A. Abraham,
2014,
Int. J. High Perform. Comput. Appl..
Ming Zhang,
Subhasish Mitra,
Tanay Karnik,
2005,
Proceedings. 42nd Design Automation Conference, 2005..
H.-S. Philip Wong,
Nishant Patil,
Subhasish Mitra,
2009,
2009 46th ACM/IEEE Design Automation Conference.
H.-S. Philip Wong,
Subhasish Mitra,
Gage Hills,
2013,
Nature.
Subhasish Mitra,
Gage Hills,
Krishna Saraswat,
2017,
Nature.
H.-S. Philip Wong,
Jeffrey Bokor,
James D. Plummer,
2020,
Proc. IEEE.
Hai Wei,
Eric Pop,
Georges Gielen,
2014,
ACS nano.
Hai Wei,
Georges G. E. Gielen,
H.-S. Philip Wong,
2014,
IEEE Journal of Solid-State Circuits.
Zhenan Bao,
Subhasish Mitra,
Nishant Patil,
2012,
ACS nano.
H.-S. Philip Wong,
Nishant Patil,
Subhasish Mitra,
2011,
IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems.
Subhasish Mitra,
Hyungmin Cho,
Larkhoon Leem,
2010,
2010 Design, Automation & Test in Europe Conference & Exhibition (DATE 2010).
Radu Marculescu,
Gustavo de Veciana,
Douglas L. Jones,
2008,
IEEE Design & Test of Computers.
Marian Verhelst,
Boris Murmann,
Subhasish Mitra,
2018,
2018 55th ACM/ESDA/IEEE Design Automation Conference (DAC).
H.-S. Philip Wong,
Christos Kozyrakis,
Mingyu Gao,
2017,
2017 International Conference on Hardware/Software Codesign and System Synthesis (CODES+ISSS).
H.-S. Philip Wong,
Subhasish Mitra,
Mohamed M. Sabry Aly,
2020
.
H.-S. Philip Wong,
Subhasish Mitra,
Haitong Li,
2017,
IEEE Transactions on Circuits and Systems I: Regular Papers.
Jacob A. Abraham,
Kevin Skadron,
Mircea R. Stan,
2019,
DAC.
Hai Wei,
H.-S. Philip Wong,
Lan Wei,
2012,
IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems.
Hai Wei,
Jie Zhang,
H.-S Philip Wong,
2010,
IEEE Transactions on Electron Devices.
Jie Zhang,
David Lin,
Subhasish Mitra,
2010,
2010 23rd International Conference on VLSI Design.
Nishal P. Shah,
Lauren E. Grosberg,
E. Chichilnisky,
2021,
IEEE Transactions on Neural Systems and Rehabilitation Engineering.
Nishal P. Shah,
Lauren E. Grosberg,
E. Chichilnisky,
2021,
bioRxiv.
Nishal P. Shah,
Alexander Sher,
Pawel Hottowy,
2019,
2019 9th International IEEE/EMBS Conference on Neural Engineering (NER).
E. Chichilnisky,
A. Sher,
A. Litke,
2021,
bioRxiv.
Subhasish Mitra,
Georges Goetz,
Alexander Sher,
2016,
bioRxiv.
S. Mitra,
Zhenan Bao,
N. Patil,
2011,
Nanotechnology.
Sheng-kai,
S. Mitra,
C. Kuo,
2020
.
Ahmad A. Al-Yamani,
Edward J. McCluskey,
Subhasish Mitra,
2005,
IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems.
Lara Dolecek,
Puneet Gupta,
Nikil D. Dutt,
2013,
IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems.
Hai Wei,
Subhasish Mitra,
Albert Lin,
2011
.
Nishant Patil,
Subhasish Mitra,
Jie Zhang,
2009,
IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems.
Erik H. Volkerink,
Subhasish Mitra,
S. Mitra,
2003,
Proceedings. 21st VLSI Test Symposium, 2003..
Ajay Khoche,
Erik H. Volkerink,
Subhasish Mitra,
2002,
Proceedings. International Test Conference.
Georges G. E. Gielen,
H.-S. Philip Wong,
Subhasish Mitra,
2016,
IEEE Transactions on Circuits and Systems I: Regular Papers.
Sanjit A. Seshia,
Wenchao Li,
Subhasish Mitra,
2007,
2007 Design, Automation & Test in Europe Conference & Exhibition.
Subhasish Mitra,
Young Moon Kim,
Yanjing Li,
2011,
IEEE Journal on Emerging and Selected Topics in Circuits and Systems.
Ming Zhang,
Subhasish Mitra,
Kee Sup Kim,
2006,
2006 IEEE International Test Conference.
Eric Cheng,
Rajiv V. Joshi,
Arun Paidimarri,
2017,
2017 IEEE International Conference on Computer Design (ICCD).
H.-S. Philip Wong,
Subhasish Mitra,
Haitong Li,
2017,
2017 International Symposium on VLSI Technology, Systems and Application (VLSI-TSA).
Edward J. McCluskey,
Subhasish Mitra,
Nahmsuk Oh,
2002,
IEEE Trans. Computers.
Jacob A. Abraham,
Chen-Yong Cher,
Shahrzad Mirkhani,
2013,
2013 50th ACM/EDAC/IEEE Design Automation Conference (DAC).
Edward J. McCluskey,
Nirmal R. Saxena,
Subhasish Mitra,
2004,
IEEE Design & Test of Computers.
Giovanni De Micheli,
Luca Gaetano Amarù,
Pierre-Emmanuel Gaillardon,
2015,
Proceedings of the IEEE.
Hai Wei,
H.-S. Philip Wong,
Subhasish Mitra,
2015,
IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems.
Hai Wei,
H.-S. Philip Wong,
Subhasish Mitra,
2014,
Proceedings of the IEEE 2014 Custom Integrated Circuits Conference.
Hai Wei,
H.-S. Philip Wong,
Subhasish Mitra,
2013,
2013 50th ACM/EDAC/IEEE Design Automation Conference (DAC).
Jie Zhang,
Subhasish Mitra,
Nishant Patil,
2011,
2011 International Electron Devices Meeting.
W. Porod,
G. Cauwenberghs,
J. Cong,
2023,
Proceedings of the IEEE.
Zhenan Bao,
Subhasish Mitra,
Gage Hills,
2015,
2015 IEEE International Electron Devices Meeting (IEDM).
Saurabh Bagchi,
Samuel P. Midkiff,
Ignacio Laguna,
2013,
SRDS 2013.
Sanjit A. Seshia,
Nicola Nicolici,
Subhasish Mitra,
2010,
Design Automation Conference.
Subhasish Mitra,
Kevin Brelsford,
Pia N. Sanda,
2010,
2010 Design, Automation & Test in Europe Conference & Exhibition (DATE 2010).
Subhasish Mitra,
Sung-Boem Park,
S. Mitra,
2010,
Commun. ACM.
Subhasish Mitra,
Sung-Boem Park,
S. Mitra,
2009,
2009 IEEE International High Level Design Validation and Test Workshop.
Subhasish Mitra,
Sung-Boem Park,
Ted Hong,
2009,
IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems.
Naresh R. Shanbhag,
Ming Zhang,
Quan Shi,
2006,
IEEE Transactions on Very Large Scale Integration (VLSI) Systems.
Edward J. McCluskey,
Nirmal R. Saxena,
Subhasish Mitra,
2004,
IEEE Transactions on Computers.
Mehdi Baradaran Tahoori,
Subhasish Mitra,
S. Mitra,
2004,
12th Annual IEEE Symposium on Field-Programmable Custom Computing Machines.
Edward J. McCluskey,
Nirmal R. Saxena,
Subhasish Mitra,
2002,
IEEE Trans. Computers.
Edward J. McCluskey,
Nirmal R. Saxena,
Subhasish Mitra,
2001,
2001 International Conference on Dependable Systems and Networks.
Edward J. McCluskey,
Subhasish Mitra,
E. McCluskey,
2001,
Proceedings 19th IEEE VLSI Test Symposium. VTS 2001.
Edward J. McCluskey,
Subhasish Mitra,
E. McCluskey,
2001,
Proceedings 19th IEEE VLSI Test Symposium. VTS 2001.
Edward J. McCluskey,
Subhasish Mitra,
E. McCluskey,
2001,
Proceedings of the IEEE 2001. 2nd International Symposium on Quality Electronic Design.
Edward J. McCluskey,
Subhasish Mitra,
E. McCluskey,
2000,
Proceedings International Test Conference 2000 (IEEE Cat. No.00CH37159).
Edward J. McCluskey,
Nirmal R. Saxena,
Subhasish Mitra,
2000,
IEEE Trans. Reliab..
Edward J. McCluskey,
Subhasish Mitra,
E. McCluskey,
2000,
Proceedings 18th IEEE VLSI Test Symposium.
Edward J. McCluskey,
Nirmal R. Saxena,
Subhasish Mitra,
1999,
International Test Conference 1999. Proceedings (IEEE Cat. No.99CH37034).
Mehdi B. Tahoori,
Subhasish Mitra,
S. Mitra,
2004
.
David Lin,
Subhasish Mitra,
Eshan Singh,
2017,
ArXiv.
E-QED: Electrical Bug Localization During Post-silicon Validation Enabled by Quick Error Detection and Formal Methods
pdf
Subhasish Mitra,
Eshan Singh,
Clark W. Barrett,
2017,
CAV.
Farzan Fallah,
David Lin,
Subhasish Mitra,
2014,
IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems.
Jan M. Rabaey,
H.-S. Philip Wong,
Abbas Rahimi,
2018,
2018 IEEE International Solid - State Circuits Conference - (ISSCC).
S. Mitra,
S. Barman,
Zhenan Bao,
2009,
ACS nano.
Steven S. Lumetta,
Subhasish Mitra,
S. Mitra,
2003
.
Hai Wei,
Subhasish Mitra,
Hong-Yu Chen,
2011,
Nano letters.
Edward J. McCluskey,
Nirmal R. Saxena,
Subhasish Mitra,
2000,
IEEE Des. Test Comput..
Subhasish Mitra,
A. Hakan Baba,
S. Mitra,
2009,
2009 27th IEEE VLSI Test Symposium.
Giovanni De Micheli,
Subhasish Mitra,
S. Mitra,
2012
.
Jan M. Rabaey,
H.-S. Philip Wong,
Abbas Rahimi,
2018,
ArXiv.
Jan M. Rabaey,
H.-S. Philip Wong,
Abbas Rahimi,
2018,
IEEE Journal of Solid-State Circuits.
Jan M. Rabaey,
H.-S. Philip Wong,
Subhasish Mitra,
2020
.
Subhasish Mitra,
Gage Hills,
Max M. Shulaker,
2014,
2014 IEEE International Electron Devices Meeting.
E. Pop,
S. Mitra,
Y. Yoon,
2022,
IEEE Transactions on Electron Devices.
H.-S. Philip Wong,
Subhasish Mitra,
Gage Hills,
2018,
Beyond-CMOS Technologies for Next Generation Computer Design.
Subhasish Mitra,
Max M. Shulaker,
Krishna Saraswat,
2014,
2014 Symposium on VLSI Technology (VLSI-Technology): Digest of Technical Papers.
Georges G. E. Gielen,
H.-S. Philip Wong,
Subhasish Mitra,
2013,
2013 IEEE International Solid-State Circuits Conference Digest of Technical Papers.
Giovanni De Micheli,
H.-S. Philip Wong,
Nishant Patil,
2010,
Design Automation Conference.
Hai Wei,
Jie Zhang,
Subhasish Mitra,
2009,
2009 IEEE International Electron Devices Meeting (IEDM).
Hai Wei,
H.-S.P. Wong,
S. Mitra,
2009,
IEEE Transactions on Electron Devices.
H. Wong,
S. Mitra,
G. Pitner,
2022,
IEEE Electron Device Letters.
H. Wong,
S. Mitra,
J. Bokor,
2019,
Nano letters.
H.-S. Philip Wong,
Subhasish Mitra,
Albert Lin,
2008
.
Hai Wei,
Subhasish Mitra,
H.-S Philip Wong,
2013,
2013 IEEE International Electron Devices Meeting.
Hai Wei,
Jie Zhang,
H.-S. Philip Wong,
2011,
2011 International Electron Devices Meeting.
H.-S. Philip Wong,
Subhasish Mitra,
Roger T. Howe,
2009,
2009 IEEE/ACM International Conference on Computer-Aided Design - Digest of Technical Papers.
Subhasish Mitra,
S. Mitra,
2018,
VLSI-DAT.
Subhasish Mitra,
S. Mitra,
2015,
2015 IEEE SOI-3D-Subthreshold Microelectronics Technology Unified Conference (S3S).
H. Wong,
S. Mitra,
G. Pitner,
2020,
2020 IEEE International Electron Devices Meeting (IEDM).
Nishant Patil,
Subhasish Mitra,
Jie Zhang,
2008,
2008 Design, Automation and Test in Europe.
S. Mitra,
N. Patil,
H. Wong,
2009,
IEEE Transactions on Nanotechnology.
Nur A. Touba,
Edward J. McCluskey,
Subhasish Mitra,
1999
.
Eric Cheng,
Jacob A. Abraham,
Kevin Skadron,
2016,
2016 53nd ACM/EDAC/IEEE Design Automation Conference (DAC).
Nishal P. Shah,
E. Chichilnisky,
A. Sher,
2023,
2023 11th International IEEE/EMBS Conference on Neural Engineering (NER).
Hai Wei,
J. Zhang,
S. Mitra,
2011,
IEEE Transactions on Nanotechnology.
Hai Wei,
H.-S. Philip Wong,
Subhasish Mitra,
2009,
2009 IEEE International Electron Devices Meeting (IEDM).
H.-S. Philip Wong,
Subhasish Mitra,
Gage Hills,
2017,
ACS nano.
Ming Zhang,
Bipul Chandra Paul,
Subhasish Mitra,
2007,
25th IEEE VLSI Test Symposium (VTS'07).
Tony F. Wu,
Zainab F. Khan,
E. Vianello,
2021,
Nature Electronics.
H.-S. Philip Wong,
Subhasish Mitra,
S. Simon Wong,
2015,
IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems.
Jason M. Fung,
S. Mitra,
W. Kunz,
2021,
IEEE Transactions on Computers.
Boris Murmann,
Yu-Der Chih,
Subhasish Mitra,
2021,
2021 Symposium on VLSI Circuits.
H.-S. Philip Wong,
Nishant Patil,
Subhasish Mitra,
2010,
2010 Design, Automation & Test in Europe Conference & Exhibition (DATE 2010).
H.-S. Philip Wong,
Jie Deng,
Nishant Patil,
2008,
IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems.
Nishal P. Shah,
E. Chichilnisky,
A. Sher,
2023,
International IEEE/EMBS Conference on Neural Engineering.
Boris Murmann,
Subhasish Mitra,
Mary Wootters,
2019,
IEEE Transactions on Biomedical Circuits and Systems.
Boris Murmann,
Mary Wootters,
Subhasish Mitra,
2019,
2019 IEEE International Symposium on Circuits and Systems (ISCAS).
C. Cagli,
G. Molas,
E. Vianello,
2020,
International Electron Devices Meeting.
Tony F. Wu,
S. Mitra,
E. Hsieh,
2021,
IEEE Transactions on Electron Devices.
S. Wong,
S. Mitra,
E. Hsieh,
2021,
IEEE Electron Device Letters.
Luca Benini,
Igor Loi,
Shinobu Fujita,
2008,
2008 IEEE/ACM International Conference on Computer-Aided Design.
Hong Wang,
Subhasish Mitra,
Sung-Boem Park,
2010,
Design Automation Conference.
Jacob A. Abraham,
Chen-Yong Cher,
Shahrzad Mirkhani,
2015,
2015 Design, Automation & Test in Europe Conference & Exhibition (DATE).
David Lin,
Subhasish Mitra,
Donald S. Gardner,
2010,
2010 IEEE International Test Conference.
Effective Pre-Silicon Verification of Processor Cores by Breaking the Bounds of Symbolic Quick Error Detection
pdf
Dominik Stoffel,
Wolfgang Kunz,
Florian Lonsing,
2021,
ArXiv.
Dominik Stoffel,
Wolfgang Kunz,
Subhasish Mitra,
2020,
2020 57th ACM/IEEE Design Automation Conference (DAC).
Dominik Stoffel,
Wolfgang Kunz,
Keerthikumara Devarajegowda,
2020,
2020 Design, Automation & Test in Europe Conference & Exhibition (DATE).
Dominik Stoffel,
Wolfgang Kunz,
Keerthikumara Devarajegowda,
2019,
2019 Design, Automation & Test in Europe Conference & Exhibition (DATE).
Processor Hardware Security Vulnerabilities and their Detection by Unique Program Execution Checking
Dominik Stoffel,
Wolfgang Kunz,
Subhasish Mitra,
2018,
2019 Design, Automation & Test in Europe Conference & Exhibition (DATE).
Dominik Stoffel,
Wolfgang Kunz,
Joakim Urdahl,
2018,
2018 Design, Automation & Test in Europe Conference & Exhibition (DATE).
Lara Dolecek,
Yifan Sun,
Subhasish Mitra,
2012,
2012 IEEE International Conference on Communications (ICC).
Jason Cong,
Peng Wei,
Ramesh Karri,
2021,
2021 Formal Methods in Computer Aided Design (FMCAD).
Florian Lonsing,
Subhasish Mitra,
Clark Barrett,
2020,
2020 Formal Methods in Computer Aided Design (FMCAD).
H. Wong,
S. Mitra,
Hong-Yu Chen,
2013,
2013 IEEE International Reliability Physics Symposium (IRPS).
H.-S. Philip Wong,
Edith Beigné,
Pascal Vivet,
2019,
2019 IEEE International Solid- State Circuits Conference - (ISSCC).
Ulf Schlichtmann,
Subhasish Mitra,
Daniel Mueller-Gritschneder,
2018,
2018 Design, Automation & Test in Europe Conference & Exhibition (DATE).
Eric Cheng,
Kevin Skadron,
Mircea R. Stan,
2017,
IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems.
Eric Cheng,
Chen-Yong Cher,
Hyungmin Cho,
2017,
IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems.
Eric Cheng,
Rajiv V. Joshi,
Kevin Skadron,
2014,
Technical Papers of 2014 International Symposium on VLSI Design, Automation and Test.
Bernd Becker,
Matthias Sauer,
Subhasish Mitra,
2013,
Proceedings of the IEEE 2013 Custom Integrated Circuits Conference.
Bernd Becker,
Matthias Sauer,
Subhasish Mitra,
2013,
2013 IEEE International Test Conference (ITC).
Eric Cheng,
Subhasish Mitra,
Yanjing Li,
2013,
2013 IEEE International Test Conference (ITC).
Stephen P. Boyd,
Yu Cao,
Robert W. Dutton,
2011,
IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems.
Hyunki Kim,
Masayuki Mizuno,
Subhasish Mitra,
2010,
2010 Symposium on VLSI Circuits.
Onur Mutlu,
Subhasish Mitra,
Donald S. Gardner,
2010,
2010 28th VLSI Test Symposium (VTS).
Subhasish Mitra,
Yanjing Li,
Samy Makar,
2008,
2008 Design, Automation and Test in Europe.
H.-S. Philip Wong,
Edith Beigne,
Elisa Vianello,
2019,
IEEE Transactions on Electron Devices.
Hai Wei,
S. Mitra,
B. Cronquist,
2012,
2012 International Electron Devices Meeting.
Hai Wei,
H.-S. Philip Wong,
Mohamed M. Sabry,
2015,
2015 Design, Automation & Test in Europe Conference & Exhibition (DATE).
Laurent Grenouillet,
Mohamed M. Sabry,
Edith Beigne,
2019,
IEEE Transactions on Electron Devices.
Yiorgos Makris,
R. D. Blanton,
Benjamin Carrión Schäfer,
2020,
2020 57th ACM/IEEE Design Automation Conference (DAC).
Ming Zhang,
Subhasish Mitra,
T. M. Mak,
2006,
2006 IFIP International Conference on Very Large Scale Integration.
Ming Zhang,
Subhasish Mitra,
T. M. Mak,
2005,
IEEE International Conference on Test, 2005..
Subhasish Mitra,
David J. Leavins,
Kee Sup Kim,
2005,
Proceedings of the IEEE 2005 Custom Integrated Circuits Conference, 2005..
Subhasish Mitra,
E. Cheng,
S. Mitra,
2017
.
Subhasish Mitra,
Kevin Brelsford,
Pia N. Sanda,
2010
.
Chen-Yong Cher,
Hyungmin Cho,
Subhasish Mitra,
2015,
2015 52nd ACM/EDAC/IEEE Design Automation Conference (DAC).
H.-S.P. Wong,
W. Wan,
T. F. Wu,
2019,
2019 IEEE International Electron Devices Meeting (IEDM).
H.-S. Philip Wong,
Subhasish Mitra,
Max M. Shulaker,
2019,
IEEE Micro.
Steven S. Lumetta,
Michael Mitzenmacher,
Nishant Patil,
2005,
IEEE Design & Test of Computers.
Rohit Kapur,
Thomas W. Williams,
Subhasish Mitra,
2008,
IEEE Design & Test of Computers.
S. Mitra,
Zhenan Bao,
M. Roberts,
2009
.
Edward J. McCluskey,
Subhasish Mitra,
LaNae J. Avra,
1997,
Proceedings International Test Conference 1997.
S. Mitra,
M. Tahoori,
2004,
2004 International Conferce on Test.
Subhasish Mitra,
Abdul Raouf Khan,
Pabitra Pal Choudhury,
1997
.
H. Wong,
S. Mitra,
Zhenan Bao,
2012,
ACS nano.
Ahmad A. Al-Yamani,
Edward J. McCluskey,
Subhasish Mitra,
2002,
17th IEEE International Symposium on Defect and Fault Tolerance in VLSI Systems, 2002. DFT 2002. Proceedings..
Farzan Fallah,
David Lin,
Subhasish Mitra,
2013,
2013 Design, Automation & Test in Europe Conference & Exhibition (DATE).
Edward J. McCluskey,
Subhasish Mitra,
Kyoung Youn Cho,
2007,
2007 IEEE International Test Conference.
Subhasish Mitra,
Kee Sup Kim,
S. Mitra,
2006,
IEEE Transactions on Computers.
Erik H. Volkerink,
Subhasish Mitra,
S. Mitra,
2005,
Proceedings. 42nd Design Automation Conference, 2005..
M. Mitzenmacher,
S. Mitra,
S. Lumetta,
2004,
2004 International Conferce on Test.
Subhasish Mitra,
Kee Sup Kim,
S. Mitra,
2004,
IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems.
Subhasish Mitra,
Kee Sup Kim,
S. Mitra,
2003,
Proceedings 21st International Conference on Computer Design.
Subhasish Mitra,
Kee Sup Kim,
S. Mitra,
2002,
Proceedings. International Test Conference.
Edward J. McCluskey,
Subhasish Mitra,
LaNae J. Avra,
2000,
IEEE Design & Test of Computers.
Marly Roncken,
Subhasish Mitra,
Jo C. Ebergen,
2000,
Proceedings Sixth International Symposium on Advanced Research in Asynchronous Circuits and Systems (ASYNC 2000) (Cat. No. PR00586).
Ahmad A. Al-Yamani,
Subhasish Mitra,
Edward J. McCluskey,
2001
.
LaNae J. Avra,
E. McCluskey,
S. Mitra,
1997
.
LaNae J. Avra,
E. McCluskey,
S. Mitra,
2022
.
E. McCluskey,
S. Mitra,
2022
.
S. Mitra,
E. Ansari,
Huichu Liu,
2022,
IEEE Micro.
Edward J. McCluskey,
Subhasish Mitra,
Wei-Je Huang,
2001,
Proceedings 2001 IEEE International Symposium on Defect and Fault Tolerance in VLSI Systems.
Mehdi Baradaran Tahoori,
Subhasish Mitra,
S. Mitra,
2007,
IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems.
Jacob A. Abraham,
Shahrzad Mirkhani,
Hyungmin Cho,
2014,
2014 19th Asia and South Pacific Design Automation Conference (ASP-DAC).
Ravishankar K. Iyer,
Nithin Nakka,
Zbigniew T. Kalbarczyk,
2005,
IEEE Micro.
H.-S. Philip Wong,
Jie Zhang,
He Yi,
2012,
Advanced Lithography.
Subhasish Mitra,
Kee Sup Kim,
Paul G Ryan,
2003,
IEEE Design & Test of Computers.